OpenCores
URL https://opencores.org/ocsvn/galois_lfsr/galois_lfsr/trunk

Subversion Repositories galois_lfsr

[/] [galois_lfsr/] [trunk/] [rtl/] [user.vhdl] - Diff between revs 6 and 7

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 6 Rev 7
Line 34... Line 34...
        You should have received a copy of the GNU Lesser General
        You should have received a copy of the GNU Lesser General
        Public License along with this source; if not, download it
        Public License along with this source; if not, download it
        from http://www.opencores.org/lgpl.shtml.
        from http://www.opencores.org/lgpl.shtml.
*/
*/
library ieee; use ieee.std_logic_1164.all, ieee.numeric_std.all; use ieee.math_real.all;
library ieee; use ieee.std_logic_1164.all, ieee.numeric_std.all; use ieee.math_real.all;
--use work.types.all;
/* Enable for synthesis; comment out for simulation.
 
        For this design, we just need boolean_vector. This is already included in Questa/ModelSim,
 
        but Quartus doesn't yet support this.
 
*/
 
use work.types.all;
 
 
entity user is
entity user is
        generic(
        generic(
                parallelLoad:boolean:=false;
                parallelLoad:boolean:=false;
                tapVector:boolean_vector:=(
                tapVector:boolean_vector:=(
Line 48... Line 52...
                        0|1|2|8=>true, 7 downto 3=>false
                        0|1|2|8=>true, 7 downto 3=>false
                )
                )
        );
        );
        port(
        port(
                /* Comment-out for simulation. */
                /* Comment-out for simulation. */
                --clk,reset:in std_ulogic;
                clk,reset:in std_ulogic;
                msg:in unsigned(tapVector'high downto 0):=9x"57";
                msg:in unsigned(tapVector'high downto 0):=9x"57";
                crc32:out unsigned(31 downto 0):=(others=>'0')
                crc32:out unsigned(31 downto 0):=(others=>'0')
        );
        );
end entity user;
end entity user;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.