OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [GECKO3COM_simple_test.cdc] - Diff between revs 26 and 27

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 26 Rev 27
Line 1... Line 1...
#ChipScope Core Inserter Project File Version 3.0
#ChipScope Core Inserter Project File Version 3.0
#Fri Feb 19 13:36:39 CET 2010
#Mon Feb 22 22:07:31 CET 2010
Project.device.designInputFile=/home/chrigi/bfh-work/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_test_cs.ngc
Project.device.designInputFile=/home/chrigi/bfh-work/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_test_cs.ngc
Project.device.designOutputFile=/home/chrigi/bfh-work/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_test_cs.ngc
Project.device.designOutputFile=/home/chrigi/bfh-work/GECKO3COM/gecko3com-ip/core/GECKO3COM_simple_test_cs.ngc
Project.device.deviceFamily=6
Project.device.deviceFamily=6
Project.device.enableRPMs=true
Project.device.enableRPMs=true
Project.device.outputDirectory=/home/chrigi/bfh-work/GECKO3COM/gecko3com-ip/core/_ngo
Project.device.outputDirectory=/home/chrigi/bfh-work/GECKO3COM/gecko3com-ip/core/_ngo
Line 16... Line 16...
Project.icon.triggerOutPinName=
Project.icon.triggerOutPinName=
Project.unit.dimension=1
Project.unit.dimension=1
Project.unit<0>.clockChannel=i_sysclk_BUFGP
Project.unit<0>.clockChannel=i_sysclk_BUFGP
Project.unit<0>.clockEdge=Rising
Project.unit<0>.clockEdge=Rising
Project.unit<0>.dataChannel<0>=GECKO3COM_simple_1 i_nReset
Project.unit<0>.dataChannel<0>=GECKO3COM_simple_1 i_nReset
Project.unit<0>.dataChannel<100>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_9
Project.unit<0>.dataChannel<100>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count9
Project.unit<0>.dataChannel<101>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_10
Project.unit<0>.dataChannel<101>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count10
Project.unit<0>.dataChannel<102>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_11
Project.unit<0>.dataChannel<102>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count11
Project.unit<0>.dataChannel<103>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_12
Project.unit<0>.dataChannel<103>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count12
Project.unit<0>.dataChannel<104>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_13
Project.unit<0>.dataChannel<104>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count13
Project.unit<0>.dataChannel<105>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_14
Project.unit<0>.dataChannel<105>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count14
Project.unit<0>.dataChannel<106>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_15
Project.unit<0>.dataChannel<106>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count15
Project.unit<0>.dataChannel<107>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_16
Project.unit<0>.dataChannel<107>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count16
Project.unit<0>.dataChannel<108>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_17
Project.unit<0>.dataChannel<108>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count17
Project.unit<0>.dataChannel<109>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_18
Project.unit<0>.dataChannel<109>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count18
Project.unit<0>.dataChannel<10>=GECKO3COM_simple_1 s_btag_correct
Project.unit<0>.dataChannel<10>=GECKO3COM_simple_1 s_btag_correct
Project.unit<0>.dataChannel<110>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_19
Project.unit<0>.dataChannel<110>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count19
Project.unit<0>.dataChannel<111>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_20
Project.unit<0>.dataChannel<111>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count20
Project.unit<0>.dataChannel<112>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_21
Project.unit<0>.dataChannel<112>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count21
Project.unit<0>.dataChannel<113>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_22
Project.unit<0>.dataChannel<113>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count22
Project.unit<0>.dataChannel<114>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_23
Project.unit<0>.dataChannel<114>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count23
Project.unit<0>.dataChannel<115>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_24
Project.unit<0>.dataChannel<115>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count24
Project.unit<0>.dataChannel<116>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_25
Project.unit<0>.dataChannel<116>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count25
Project.unit<0>.dataChannel<117>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_26
Project.unit<0>.dataChannel<117>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count26
Project.unit<0>.dataChannel<118>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_27
Project.unit<0>.dataChannel<118>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count27
Project.unit<0>.dataChannel<119>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_28
Project.unit<0>.dataChannel<119>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count28
Project.unit<0>.dataChannel<11>=GECKO3COM_simple_1 s_btag_reg_en
Project.unit<0>.dataChannel<11>=GECKO3COM_simple_1 s_btag_reg_en
Project.unit<0>.dataChannel<120>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_29
Project.unit<0>.dataChannel<120>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count29
Project.unit<0>.dataChannel<121>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_30
Project.unit<0>.dataChannel<121>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count30
Project.unit<0>.dataChannel<122>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_btag<0>
Project.unit<0>.dataChannel<122>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_btag<0>
Project.unit<0>.dataChannel<123>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_btag<1>
Project.unit<0>.dataChannel<123>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_btag<1>
Project.unit<0>.dataChannel<124>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_btag<2>
Project.unit<0>.dataChannel<124>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_btag<2>
Project.unit<0>.dataChannel<125>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_btag<3>
Project.unit<0>.dataChannel<125>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_btag<3>
Project.unit<0>.dataChannel<126>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_btag<4>
Project.unit<0>.dataChannel<126>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 s_btag<4>
Line 102... Line 102...
Project.unit<0>.dataChannel<42>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd1
Project.unit<0>.dataChannel<42>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd1
Project.unit<0>.dataChannel<43>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd2
Project.unit<0>.dataChannel<43>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd2
Project.unit<0>.dataChannel<44>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd3
Project.unit<0>.dataChannel<44>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd3
Project.unit<0>.dataChannel<45>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd4
Project.unit<0>.dataChannel<45>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd4
Project.unit<0>.dataChannel<46>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd5
Project.unit<0>.dataChannel<46>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd5
Project.unit<0>.dataChannel<47>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd6
Project.unit<0>.dataChannel<47>=s_send_counter_equals_transfer_size
Project.unit<0>.dataChannel<48>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd7
Project.unit<0>.dataChannel<48>=s_send_transfersize_en
Project.unit<0>.dataChannel<49>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd8
Project.unit<0>.dataChannel<49>=s_send_fifo_data<0>
Project.unit<0>.dataChannel<4>=GECKO3COM_simple_1 o_receive_end_of_message
Project.unit<0>.dataChannel<4>=GECKO3COM_simple_1 o_receive_end_of_message
Project.unit<0>.dataChannel<50>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd9
Project.unit<0>.dataChannel<50>=s_send_fifo_data<1>
Project.unit<0>.dataChannel<51>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd10
Project.unit<0>.dataChannel<51>=s_send_fifo_data<2>
Project.unit<0>.dataChannel<52>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd11
Project.unit<0>.dataChannel<52>=s_send_fifo_data<3>
Project.unit<0>.dataChannel<53>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd12
Project.unit<0>.dataChannel<53>=s_send_fifo_data<4>
Project.unit<0>.dataChannel<54>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd13
Project.unit<0>.dataChannel<54>=s_send_fifo_data<5>
Project.unit<0>.dataChannel<55>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd14
Project.unit<0>.dataChannel<55>=s_send_fifo_data<6>
Project.unit<0>.dataChannel<56>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd15
Project.unit<0>.dataChannel<56>=s_send_fifo_data<7>
Project.unit<0>.dataChannel<57>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd16
Project.unit<0>.dataChannel<57>=s_send_fifo_data<8>
Project.unit<0>.dataChannel<58>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd17
Project.unit<0>.dataChannel<58>=s_send_fifo_data<9>
Project.unit<0>.dataChannel<59>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd18
Project.unit<0>.dataChannel<59>=s_send_fifo_data<10>
Project.unit<0>.dataChannel<5>=GECKO3COM_simple_1 o_receive_fifo_empty
Project.unit<0>.dataChannel<5>=GECKO3COM_simple_1 o_receive_fifo_empty
Project.unit<0>.dataChannel<60>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd19
Project.unit<0>.dataChannel<60>=s_send_fifo_data<11>
Project.unit<0>.dataChannel<61>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd20
Project.unit<0>.dataChannel<61>=s_send_fifo_data<12>
Project.unit<0>.dataChannel<62>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd21
Project.unit<0>.dataChannel<62>=s_send_fifo_data<13>
Project.unit<0>.dataChannel<63>=GECKO3COM_simple_1 GECKO3COM_simple_fsm_1 state_FSM_FFd22
Project.unit<0>.dataChannel<63>=s_send_fifo_data<14>
Project.unit<0>.dataChannel<64>=s_send_have_more_data
Project.unit<0>.dataChannel<64>=s_send_fifo_data<15>
Project.unit<0>.dataChannel<65>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 v_receive_fifo_empty_old
Project.unit<0>.dataChannel<65>=s_send_fifo_data<16>
Project.unit<0>.dataChannel<66>=GECKO3COM_simple_1 o_receive_transfersize<31>
Project.unit<0>.dataChannel<66>=s_send_fifo_data<17>
Project.unit<0>.dataChannel<67>=GECKO3COM_simple_1 o_receive_transfersize<3>
Project.unit<0>.dataChannel<67>=s_send_fifo_data<18>
Project.unit<0>.dataChannel<68>=GECKO3COM_simple_1 o_receive_transfersize<4>
Project.unit<0>.dataChannel<68>=s_send_fifo_data<19>
Project.unit<0>.dataChannel<69>=GECKO3COM_simple_1 o_receive_transfersize<5>
Project.unit<0>.dataChannel<69>=s_send_fifo_data<20>
Project.unit<0>.dataChannel<6>=GECKO3COM_simple_1 o_receive_newdata
Project.unit<0>.dataChannel<6>=GECKO3COM_simple_1 o_receive_newdata
Project.unit<0>.dataChannel<70>=GECKO3COM_simple_1 o_receive_transfersize<6>
Project.unit<0>.dataChannel<70>=s_send_fifo_data<21>
Project.unit<0>.dataChannel<71>=GECKO3COM_simple_1 o_receive_transfersize<7>
Project.unit<0>.dataChannel<71>=s_send_fifo_data<22>
Project.unit<0>.dataChannel<72>=GECKO3COM_simple_1 o_receive_transfersize<8>
Project.unit<0>.dataChannel<72>=s_send_fifo_data<23>
Project.unit<0>.dataChannel<73>=GECKO3COM_simple_1 o_receive_transfersize<9>
Project.unit<0>.dataChannel<73>=s_send_have_more_data
Project.unit<0>.dataChannel<74>=GECKO3COM_simple_1 s_gpif_rx_data<0>
Project.unit<0>.dataChannel<74>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd1
Project.unit<0>.dataChannel<75>=GECKO3COM_simple_1 s_gpif_rx_data<10>
Project.unit<0>.dataChannel<75>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd2
Project.unit<0>.dataChannel<76>=GECKO3COM_simple_1 s_gpif_rx_data<11>
Project.unit<0>.dataChannel<76>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd3
Project.unit<0>.dataChannel<77>=GECKO3COM_simple_1 s_gpif_rx_data<12>
Project.unit<0>.dataChannel<77>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd4
Project.unit<0>.dataChannel<78>=GECKO3COM_simple_1 s_gpif_rx_data<13>
Project.unit<0>.dataChannel<78>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd5
Project.unit<0>.dataChannel<79>=GECKO3COM_simple_1 s_gpif_rx_data<14>
Project.unit<0>.dataChannel<79>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd6
Project.unit<0>.dataChannel<7>=GECKO3COM_simple_1 o_send_data_request
Project.unit<0>.dataChannel<7>=GECKO3COM_simple_1 o_send_data_request
Project.unit<0>.dataChannel<80>=GECKO3COM_simple_1 s_gpif_rx_data<15>
Project.unit<0>.dataChannel<80>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd7
Project.unit<0>.dataChannel<81>=GECKO3COM_simple_1 s_gpif_rx_data<1>
Project.unit<0>.dataChannel<81>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd8
Project.unit<0>.dataChannel<82>=GECKO3COM_simple_1 s_gpif_rx_data<2>
Project.unit<0>.dataChannel<82>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd9
Project.unit<0>.dataChannel<83>=GECKO3COM_simple_1 s_gpif_rx_data<3>
Project.unit<0>.dataChannel<83>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd10
Project.unit<0>.dataChannel<84>=GECKO3COM_simple_1 s_gpif_rx_data<4>
Project.unit<0>.dataChannel<84>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd11
Project.unit<0>.dataChannel<85>=GECKO3COM_simple_1 s_gpif_rx_data<5>
Project.unit<0>.dataChannel<85>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd12
Project.unit<0>.dataChannel<86>=GECKO3COM_simple_1 s_gpif_rx_data<6>
Project.unit<0>.dataChannel<86>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd13
Project.unit<0>.dataChannel<87>=GECKO3COM_simple_1 s_gpif_rx_data<7>
Project.unit<0>.dataChannel<87>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd14
Project.unit<0>.dataChannel<88>=GECKO3COM_simple_1 s_gpif_rx_data<8>
Project.unit<0>.dataChannel<88>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd15
Project.unit<0>.dataChannel<89>=GECKO3COM_simple_1 s_gpif_rx_data<9>
Project.unit<0>.dataChannel<89>=GECKO3COM_simple_1 GPIF_INTERFACE FSM_GPIF pr_state_FSM_FFd16
Project.unit<0>.dataChannel<8>=GECKO3COM_simple_1 o_send_fifo_full
Project.unit<0>.dataChannel<8>=GECKO3COM_simple_1 o_send_fifo_full
Project.unit<0>.dataChannel<90>=GECKO3COM_simple_1 o_receive_transfersize<0>
Project.unit<0>.dataChannel<90>=GECKO3COM_simple_1 o_receive_transfersize<0>
Project.unit<0>.dataChannel<91>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_0
Project.unit<0>.dataChannel<91>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count
Project.unit<0>.dataChannel<92>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_1
Project.unit<0>.dataChannel<92>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count1
Project.unit<0>.dataChannel<93>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_2
Project.unit<0>.dataChannel<93>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count2
Project.unit<0>.dataChannel<94>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_3
Project.unit<0>.dataChannel<94>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count3
Project.unit<0>.dataChannel<95>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_4
Project.unit<0>.dataChannel<95>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count4
Project.unit<0>.dataChannel<96>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_5
Project.unit<0>.dataChannel<96>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count5
Project.unit<0>.dataChannel<97>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_6
Project.unit<0>.dataChannel<97>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count6
Project.unit<0>.dataChannel<98>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_7
Project.unit<0>.dataChannel<98>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count7
Project.unit<0>.dataChannel<99>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_receive_transfersize_count_eqn_8
Project.unit<0>.dataChannel<99>=GECKO3COM_simple_1 GECKO3COM_simple_datapath_1 Mcount_s_send_transfersize_count8
Project.unit<0>.dataChannel<9>=GECKO3COM_simple_1 o_send_finished
Project.unit<0>.dataChannel<9>=GECKO3COM_simple_1 o_send_finished
Project.unit<0>.dataDepth=512
Project.unit<0>.dataDepth=512
Project.unit<0>.dataEqualsTrigger=false
Project.unit<0>.dataEqualsTrigger=false
Project.unit<0>.dataPortWidth=146
Project.unit<0>.dataPortWidth=146
Project.unit<0>.enableGaps=false
Project.unit<0>.enableGaps=false
Line 172... Line 172...
Project.unit<0>.timestampDepth=0
Project.unit<0>.timestampDepth=0
Project.unit<0>.timestampWidth=0
Project.unit<0>.timestampWidth=0
Project.unit<0>.triggerChannel<0><0>=GECKO3COM_simple_1 s_dev_dep_msg_out
Project.unit<0>.triggerChannel<0><0>=GECKO3COM_simple_1 s_dev_dep_msg_out
Project.unit<0>.triggerChannel<0><10>=s_send_transfersize_en
Project.unit<0>.triggerChannel<0><10>=s_send_transfersize_en
Project.unit<0>.triggerChannel<0><11>=s_transfer_size_reg_en
Project.unit<0>.triggerChannel<0><11>=s_transfer_size_reg_en
Project.unit<0>.triggerChannel<0><1>=GECKO3COM_simple_1 s_btag_correct
Project.unit<0>.triggerChannel<0><1>=GECKO3COM_simple_1 s_gpif_tx_full
Project.unit<0>.triggerChannel<0><2>=GECKO3COM_simple_1 s_request_dev_dep_msg_in
Project.unit<0>.triggerChannel<0><2>=GECKO3COM_simple_1 s_request_dev_dep_msg_in
Project.unit<0>.triggerChannel<0><3>=GECKO3COM_simple_1 s_gpif_rx_rd_en
Project.unit<0>.triggerChannel<0><3>=GECKO3COM_simple_1 s_gpif_rx_rd_en
Project.unit<0>.triggerChannel<0><4>=GECKO3COM_simple_1 s_btag_reg_en
Project.unit<0>.triggerChannel<0><4>=GECKO3COM_simple_1 s_btag_reg_en
Project.unit<0>.triggerChannel<0><5>=GECKO3COM_simple_1 s_gpif_abort
Project.unit<0>.triggerChannel<0><5>=GECKO3COM_simple_1 s_gpif_abort
Project.unit<0>.triggerChannel<0><6>=s_send_data_request
Project.unit<0>.triggerChannel<0><6>=s_send_data_request
Project.unit<0>.triggerChannel<0><7>=s_send_fifo_full
Project.unit<0>.triggerChannel<0><7>=s_send_fifo_full
Project.unit<0>.triggerChannel<0><8>=s_send_fifo_wr_en
Project.unit<0>.triggerChannel<0><8>=GECKO3COM_simple_1 i_send_fifo_wr_en
Project.unit<0>.triggerChannel<0><9>=s_send_have_more_data
Project.unit<0>.triggerChannel<0><9>=s_send_have_more_data
Project.unit<0>.triggerConditionCountWidth=0
Project.unit<0>.triggerConditionCountWidth=0
Project.unit<0>.triggerMatchCount<0>=2
Project.unit<0>.triggerMatchCount<0>=2
Project.unit<0>.triggerMatchCountWidth<0><0>=0
Project.unit<0>.triggerMatchCountWidth<0><0>=0
Project.unit<0>.triggerMatchCountWidth<0><1>=0
Project.unit<0>.triggerMatchCountWidth<0><1>=0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.