OpenCores
URL https://opencores.org/ocsvn/generic_booth_multipler/generic_booth_multipler/trunk

Subversion Repositories generic_booth_multipler

[/] [generic_booth_multipler/] [trunk/] [rtl/] [modules/] [00.Adder.vhd] - Diff between revs 2 and 6

Show entire file | Details | Blame | View Log

Rev 2 Rev 6
Line 1... Line 1...
library IEEE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_1164.ALL;
 
 
entity Adder is
entity Adder is
 
        generic(
 
                size : integer:= 4
 
        );
        port(
        port(
                A               : in  std_logic_vector;
                A               : in  std_logic_vector(size-1 downto 0);
                B               : in  std_logic_vector;
                B               : in  std_logic_vector(size-1 downto 0);
                Cin     : in  std_logic;
                Cin     : in  std_logic;
                S               : out std_logic_vector;
                S               : out std_logic_vector(size-1 downto 0);
                Cout    : out std_logic);
                Cout    : out std_logic);
end Adder;
end Adder;
 
 
architecture Behavioral of Adder is
architecture Behavioral of Adder is
        component FullAdder is
        component FullAdder is

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.