OpenCores
URL https://opencores.org/ocsvn/generic_booth_multipler/generic_booth_multipler/trunk

Subversion Repositories generic_booth_multipler

[/] [generic_booth_multipler/] [trunk/] [rtl/] [modules/] [00.Ander.vhd] - Diff between revs 2 and 6

Show entire file | Details | Blame | View Log

Rev 2 Rev 6
Line 1... Line 1...
 
 
library IEEE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_1164.ALL;
 
 
entity Ander is
entity Ander is
 
        generic(
 
                size : integer:= 4
 
        );
        port(
        port(
                input1 : in      std_logic;
                input1 : in      std_logic;
                input2 : in  std_logic_vector;
                input2 : in  std_logic_vector(size-1 downto 0);
                result : out std_logic_vector);
                result : out std_logic_vector(size-1 downto 0));
end Ander;
end Ander;
 
 
architecture Behavioral of Ander is
architecture Behavioral of Ander is
 
 
begin
begin

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.