OpenCores
URL https://opencores.org/ocsvn/generic_booth_multipler/generic_booth_multipler/trunk

Subversion Repositories generic_booth_multipler

[/] [generic_booth_multipler/] [trunk/] [rtl/] [modules/] [00.LeftShiftReg.vhd] - Diff between revs 2 and 6

Show entire file | Details | Blame | View Log

Rev 2 Rev 6
Line 1... Line 1...
 
 
library IEEE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_1164.ALL;
 
 
entity LeftShiftReg is
entity LeftShiftReg is
 
                generic(
 
                        size : integer:= 4
 
                );
                port(
                port(
                        clock    :in    std_logic;
                        clock    :in    std_logic;
                        enable :in      std_logic;
                        enable :in      std_logic;
                        shift    :in    std_logic;
                        shift    :in    std_logic;
                        din      :in    std_logic_vector;
                        din      :in    std_logic_vector(size-1 downto 0);
                        dout     :out std_logic_vector);
                        dout     :out std_logic_vector(size-1 downto 0));
end LeftShiftReg;
end LeftShiftReg;
 
 
architecture Behavioral of LeftShiftReg is
architecture Behavioral of LeftShiftReg is
        signal data : std_logic_vector(din'range);
        signal data : std_logic_vector(din'range);
begin
begin

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.