OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

[/] [gpib_controller/] [trunk/] [vhdl/] [src/] [gpib/] [if_func_DT.vhd] - Diff between revs 3 and 13

Only display areas with differences | Details | Blame | View Log

Rev 3 Rev 13
 
--------------------------------------------------------------------------------
 
--This file is part of fpga_gpib_controller.
 
--
 
-- Fpga_gpib_controller is free software: you can redistribute it and/or modify
 
-- it under the terms of the GNU General Public License as published by
 
-- the Free Software Foundation, either version 3 of the License, or
 
-- (at your option) any later version.
 
--
 
-- Fpga_gpib_controller is distributed in the hope that it will be useful,
 
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
 
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
 
-- GNU General Public License for more details.
 
 
 
-- You should have received a copy of the GNU General Public License
 
-- along with Fpga_gpib_controller.  If not, see <http://www.gnu.org/licenses/>.
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
-- Company: 
-- Author: Andrzej Paluch
-- Engineer: 
 
-- 
-- 
-- Create Date:    01:04:57 10/03/2011 
-- Create Date:    01:04:57 10/03/2011 
-- Design Name: 
-- Design Name: 
-- Module Name:    if_func_DT - Behavioral 
-- Module Name:    if_func_DT - Behavioral 
-- Project Name: 
-- Project Name: 
-- Target Devices: 
-- Target Devices: 
-- Tool versions: 
-- Tool versions: 
-- Description: 
-- Description: 
--
--
-- Dependencies: 
-- Dependencies: 
--
--
-- Revision: 
-- Revision: 
-- Revision 0.01 - File Created
-- Revision 0.01 - File Created
-- Additional Comments: 
-- Additional Comments: 
--
--
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
library IEEE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_1164.ALL;
 
 
---- Uncomment the following library declaration if instantiating
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
---- any Xilinx primitives in this code.
--library UNISIM;
--library UNISIM;
--use UNISIM.VComponents.all;
--use UNISIM.VComponents.all;
 
 
entity if_func_DT is
entity if_func_DT is
        port(
        port(
                -- device inputs
                -- device inputs
                clk : in std_logic; -- clock
                clk : in std_logic; -- clock
                -- state inputs
                -- state inputs
                LADS : in std_logic; -- listener addressed state (L or LE)
                LADS : in std_logic; -- listener addressed state (L or LE)
                ACDS : in std_logic; -- accept data state (AH)
                ACDS : in std_logic; -- accept data state (AH)
                -- instructions
                -- instructions
                GET : in std_logic; -- group execute trigger
                GET : in std_logic; -- group execute trigger
                -- local instructions
                -- local instructions
                trg : out std_logic -- trigger
                trg : out std_logic -- trigger
        );
        );
end if_func_DT;
end if_func_DT;
 
 
architecture Behavioral of if_func_DT is
architecture Behavioral of if_func_DT is
 
 
 -- states
 -- states
 type DT_STATE is (
 type DT_STATE is (
  -- device trigger idle state
  -- device trigger idle state
  ST_DTIS,
  ST_DTIS,
  -- device trigger active state
  -- device trigger active state
  ST_DTAS
  ST_DTAS
 );
 );
 
 
 -- current state
 -- current state
 signal current_state : DT_STATE;
 signal current_state : DT_STATE;
 
 
 -- predicates
 -- predicates
 signal pred1 : boolean;
 signal pred1 : boolean;
 signal pred2 : boolean;
 signal pred2 : boolean;
 
 
begin
begin
 
 
 -- state machine process
 -- state machine process
 process(clk) begin
 process(clk) begin
 
 
        if rising_edge(clk) then
        if rising_edge(clk) then
 
 
          case current_state is
          case current_state is
            ------------------
            ------------------
            when ST_DTIS =>
            when ST_DTIS =>
                   if pred1 then
                   if pred1 then
                     current_state <= ST_DTAS;
                     current_state <= ST_DTAS;
                   end if;
                   end if;
                 ------------------
                 ------------------
                 when ST_DTAS =>
                 when ST_DTAS =>
                   if pred2 then
                   if pred2 then
                 current_state <= ST_DTIS;
                 current_state <= ST_DTIS;
           end if;
           end if;
                 ------------------
                 ------------------
                 when others =>
                 when others =>
                   current_state <= ST_DTIS;
                   current_state <= ST_DTIS;
       end case;
       end case;
        end if;
        end if;
 
 
 end process;
 end process;
 
 
 -- predicates
 -- predicates
 pred1 <= GET='1' and LADS='1' and ACDS='1';
 pred1 <= GET='1' and LADS='1' and ACDS='1';
 pred2 <= not pred1;
 pred2 <= not pred1;
 
 
 -- trg generator
 -- trg generator
 with current_state select
 with current_state select
   trg <=
   trg <=
                '1' when ST_DTAS,
                '1' when ST_DTAS,
                '0' when others;
                '0' when others;
 
 
end Behavioral;
end Behavioral;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.