OpenCores
URL https://opencores.org/ocsvn/highload/highload/trunk

Subversion Repositories highload

[/] [highload/] [trunk/] [high_load.vhd] - Diff between revs 3 and 4

Show entire file | Details | Blame | View Log

Rev 3 Rev 4
Line 31... Line 31...
entity high_load is
entity high_load is
        generic (
        generic (
                NUM_IN  : positive := 3*14;     -- Input pins
                NUM_IN  : positive := 3*14;     -- Input pins
                NUM_OUT : positive := 1;                -- Output pins
                NUM_OUT : positive := 1;                -- Output pins
                NUM_LC : positive := 16;                -- Number of LC cores
                NUM_LC : positive := 16;                -- Number of LC cores
 
                LC_RECURSION : positive := 1; -- 1 = no recursion
                NUM_DSP : positive := 9;                -- Number of DSP cores
                NUM_DSP : positive := 9;                -- Number of DSP cores
                RAM_DEPTH_LOG2 : integer range 4 to 30 := 10    -- RAM depth
                RAM_DEPTH_LOG2 : integer range 4 to 30 := 10    -- RAM depth
                );
                );
        port
        port
        (
        (
Line 60... Line 61...
--      );
--      );
--end component;
--end component;
 
 
component lc_use is
component lc_use is
        generic (
        generic (
 
            RECURSION_IDX : positive := 1; -- 1 = stop recursion
                DATA_WIDTH : positive := 128;
                DATA_WIDTH : positive := 128;
                ARITH_SIZE : positive := 16; -- Should be divider of DATA_WIDTH
                ARITH_SIZE : positive := 16; -- Should be divider of DATA_WIDTH
                NUM_ROWS: positive := 6;        -- Input pins
                NUM_ROWS: positive := 6;        -- Input pins
        ADD_PIPL_FF : boolean := false
        ADD_PIPL_FF : boolean := false
                );
                );
Line 206... Line 208...
--              key      => to_stdlogicvector(key rol i),
--              key      => to_stdlogicvector(key rol i),
--              dataout=> aes_out(128*i+127 downto 128*i)
--              dataout=> aes_out(128*i+127 downto 128*i)
--      );
--      );
        lc_i: lc_use
        lc_i: lc_use
        generic map (
        generic map (
 
            RECURSION_IDX => LC_RECURSION,
                DATA_WIDTH => 128,
                DATA_WIDTH => 128,
                ARITH_SIZE => 16, -- Should be divider of DATA_WIDTH
                ARITH_SIZE => 16, -- Should be divider of DATA_WIDTH
                NUM_ROWS         => 6,  -- Input pins
                NUM_ROWS         => 6,  -- Input pins
                ADD_PIPL_FF => true
                ADD_PIPL_FF => true
                )
                )

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.