OpenCores
URL https://opencores.org/ocsvn/i2c/i2c/trunk

Subversion Repositories i2c

[/] [i2c/] [trunk/] [rtl/] [vhdl/] [i2c_master_top.vhd] - Diff between revs 31 and 34

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 31 Rev 34
Line 35... Line 35...
----                                                             ----
----                                                             ----
---------------------------------------------------------------------
---------------------------------------------------------------------
 
 
--  CVS Log
--  CVS Log
--
--
--  $Id: i2c_master_top.vhd,v 1.4 2002-12-26 16:05:47 rherveille Exp $
--  $Id: i2c_master_top.vhd,v 1.5 2003-02-01 02:03:06 rherveille Exp $
--
--
--  $Date: 2002-12-26 16:05:47 $
--  $Date: 2003-02-01 02:03:06 $
--  $Revision: 1.4 $
--  $Revision: 1.5 $
--  $Author: rherveille $
--  $Author: rherveille $
--  $Locker:  $
--  $Locker:  $
--  $State: Exp $
--  $State: Exp $
--
--
-- Change History:
-- Change History:
--               $Log: not supported by cvs2svn $
--               $Log: not supported by cvs2svn $
 
--               Revision 1.4  2002/12/26 16:05:47  rherveille
 
--               Core is now a Multimaster I2C controller.
 
--
--               Revision 1.3  2002/11/30 22:24:37  rherveille
--               Revision 1.3  2002/11/30 22:24:37  rherveille
--               Cleaned up code
--               Cleaned up code
--
--
--               Revision 1.2  2001/11/10 10:52:44  rherveille
--               Revision 1.2  2001/11/10 10:52:44  rherveille
--               Changed PRER reset value from 0x0000 to 0xffff, conform specs.
--               Changed PRER reset value from 0x0000 to 0xffff, conform specs.
Line 335... Line 338...
            end process gen_irq;
            end process gen_irq;
 
 
            -- assign status register bits
            -- assign status register bits
            sr(7)          <= rxack;
            sr(7)          <= rxack;
            sr(6)          <= i2c_busy;
            sr(6)          <= i2c_busy;
            sr(5 downto 2) <= (others => '0'); -- reserved
            sr(5)          <= al;
 
            sr(4 downto 2) <= (others => '0'); -- reserved
            sr(1)          <= tip;
            sr(1)          <= tip;
            sr(0)          <= irq_flag;
            sr(0)          <= irq_flag;
        end block;
        end block;
 
 
end architecture structural;
end architecture structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.