OpenCores
URL https://opencores.org/ocsvn/i8255/i8255/trunk

Subversion Repositories i8255

[/] [i8255/] [tsti8255.v] - Diff between revs 2 and 3

Show entire file | Details | Blame | View Log

Rev 2 Rev 3
Line 68... Line 68...
                // Initialize Inputs
                // Initialize Inputs
                reset <= 1;
                reset <= 1;
                pae<=0;
                pae<=0;
                pche<=0;
                pche<=0;
                wrtport<=0;
                wrtport<=0;
                pause<=0;
 
                ncs <= 1;
                ncs <= 1;
                nrd <= 1;
                nrd <= 1;
                nwr <= 1;
                nwr <= 1;
                addr <= 2'b11;
                addr <= 2'b11;
                oflag<=0;
                oflag<=0;
Line 111... Line 110...
                                        step<=33;
                                        step<=33;
                                        resetret<=3;
                                        resetret<=3;
                                        writeret<=32;
                                        writeret<=32;
                                        end
                                        end
                                3: begin
                                3: begin
                                   newval=8'h0;
                                   newval<=8'h0;
                                        nrd=1;
                                        nrd<=1;
                                        nwr=1;
                                        nwr<=1;
                                        step=4;
                                        step<=4;
                                        end
                                        end
                                4: begin
                                4: begin
                                        newval=8'b10100000;
                                        newval<=8'b10100000;
                                        addr=2;
                                        addr<=2;
                                        nrd=1;
                                        nrd<=1;
                                        nwr=0;
                                        nwr<=0;
                                        step=5;
                                        step<=5;
                                        end
                                        end
                                6: begin
                                6: begin
                                        newval=8'b10010000; //a-output, c -input //#4
                                        newval<=8'b10010000; //a-output, c -input //#4
                                        addr=3;
                                        addr<=3;
                                        oflag=1;
                                        oflag<=1;
                                        pae=0;
                                        pae<=0;
                                        step=33;
                                        step<=33;
                                        resetret=7;
                                        resetret<=7;
                                        writeret=32;
                                        writeret<=32;
                                        end
                                        end
                                7: begin
                                7: begin
                                        wrtport=8'b11010000; //#10
                                        wrtport<=8'b11010000; //#10
                                        pae=1;
                                        pae<=1;
                                        //pche=1;
                                        //pche=1;
                                        oflag=0;
                                        oflag<=0;
                                        addr=0;
                                        addr<=0;
                                        nrd=0;
                                        nrd<=0;
                                        nwr=1;
                                        nwr<=1;
                                        step=32;
                                        step<=32;
                                        resetret=8;
                                        resetret<=8;
                                        end
                                        end
                                8: begin
                                8: begin
                                        newval=8'b10100000;
                                        newval<=8'b10100000;
                                        //pae=0;
                                        //pae=0;
                                        pche=1;
                                        pche<=1;
                                        oflag=1;
                                        oflag<=1;
                                        addr=0;
                                        addr<=0;
                                        nrd=1;
                                        nrd<=1;
                                        nwr=0;
                                        nwr<=0;
                                        step=10;
                                        step<=10;
                                        end
                                        end
                                9: begin
                                9: begin
                                        pae=0;
                                        pae<=0;
                                        addr=0;
                                        addr<=0;
                                        nrd=0;
                                        nrd<=0;
                                        nwr=1;
                                        nwr<=1;
                                        step=10;
                                        step<=10;
                                        end
                                        end
                                32: begin
                                32: begin //reset step
                                        oflag=0;
                                        oflag<=0;
                                        nrd=1;
                                        nrd<=1;
                                        nwr=1;
                                        nwr<=1;
                                        step=resetret;
                                        step<=resetret;
                                        end
                                        end
                                33: begin //write routine
                                33: begin //write routine
                                        nwr=0;
                                        nwr<=0;
                                        nrd=1;
                                        nrd<=1;
                                        step=writeret;
                                        step<=writeret;
                                        end
                                        end
 
 
                        endcase
                        endcase
                        end
                        end
                end
                end

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.