OpenCores
URL https://opencores.org/ocsvn/iso7816_3_master/iso7816_3_master/trunk

Subversion Repositories iso7816_3_master

[/] [iso7816_3_master/] [trunk/] [test/] [DummyCard.v] - Diff between revs 17 and 19

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 17 Rev 19
Line 1... Line 1...
/*
/*
Author: Sebastien Riou (acapola)
Author: Sebastien Riou (acapola)
Creation date: 22:22:43 01/10/2011
Creation date: 22:22:43 01/10/2011
 
 
$LastChangedDate: 2011-02-18 15:23:07 +0100 (Fri, 18 Feb 2011) $
$LastChangedDate: 2011-04-17 23:31:29 +0200 (Sun, 17 Apr 2011) $
$LastChangedBy: acapola $
$LastChangedBy: acapola $
$LastChangedRevision: 17 $
$LastChangedRevision: 19 $
$HeadURL: file:///svn/iso7816_3_master/iso7816_3_master/trunk/test/DummyCard.v $
$HeadURL: file:///svn/iso7816_3_master/iso7816_3_master/trunk/test/DummyCard.v $
 
 
This file is under the BSD licence:
This file is under the BSD licence:
Copyright (c) 2011, Sebastien Riou
Copyright (c) 2011, Sebastien Riou
 
 
Line 107... Line 107...
 
 
 
 
/*T=0 card model
/*T=0 card model
 
 
ATR:
ATR:
 
        3B/3F 90 97 40 20
        3B/3F 94 97 80 1F 42 BA BE BA BE
        3B/3F 94 97 80 1F 42 BA BE BA BE
        3B 9E 96 80 1F C7 80 31 E0 73 FE 21 1B 66 D0 00 28 24 01 00 0D
        3B 9E 96 80 1F C7 80 31 E0 73 FE 21 1B 66 D0 00 28 24 01 00 0D
 
 
 
 
Implemented commands:
Implemented commands:
Line 186... Line 187...
                //sendHexBytes("3B00");
                //sendHexBytes("3B00");
                if(useIndirectConvention)
                if(useIndirectConvention)
                        sendHexBytes("3F");
                        sendHexBytes("3F");
                else
                else
                        sendHexBytes("3B");
                        sendHexBytes("3B");
 
                        sendHexBytes("90974020");
                //sendHexBytes("9497801F42BABEBABE");
                //sendHexBytes("9497801F42BABEBABE");
                //sendHexBytes("9E 97 80 1F C7 80 31 E0 73 FE 21 1B 66 D0 00 28 24 01 00 0D");
                //sendHexBytes("9E 97 80 1F C7 80 31 E0 73 FE 21 1B 66 D0 00 28 24 01 00 0D");
                sendHexBytes("9E 97 80 1F C7 80 31 E0 73 FE 21 1B 66 D0 00 28 24 01 00 ");
                //sendHexBytes("9E 97 80 1F C7 80 31 E0 73 FE 21 1B 66 D0 00 28 24 01 00 ");
                waitEndOfTx;
                waitEndOfTx;
        end else begin
        end else begin
                //get CLA
                //get CLA
                receiveByte(tpduHeader[CLA_I+:8]);
                receiveByte(tpduHeader[CLA_I+:8]);
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.