OpenCores
URL https://opencores.org/ocsvn/iso7816_3_master/iso7816_3_master/trunk

Subversion Repositories iso7816_3_master

[/] [iso7816_3_master/] [trunk/] [test/] [tbIso7816_3_Master.v] - Diff between revs 8 and 9

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 8 Rev 9
Line 190... Line 190...
                #(CLK_PERIOD*372*12);
                #(CLK_PERIOD*372*12);
                $finish;
                $finish;
        end
        end
        //T=0 tpdu stimuli
        //T=0 tpdu stimuli
        initial begin
        initial begin
                receiveAndCheckByte(8'h3B);
                //receiveAndCheckByte(8'h3B);
                receiveAndCheckByte(8'h00);
                //receiveAndCheckByte(8'h00);
                //sendBytes("000C000001");//would be handy, TODO
                receiveAndCheckHexBytes("3B00");
                sendByte(8'h00);
                sendHexBytes("000C000001");
                sendByte(8'h0C);
                //receiveAndCheckByte(8'h0C);
                sendByte(8'h00);
                receiveAndCheckHexBytes("0C");
                sendByte(8'h00);
                sendHexBytes("55");
                sendByte(8'h01);
                //receiveAndCheckByte(8'h90);
                receiveAndCheckByte(8'h0C);
                //receiveAndCheckByte(8'h00);
                //sendBytes("55");
                receiveAndCheckHexBytes("9000");
                sendByte(8'h55);
 
                receiveAndCheckByte(8'h90);
 
                receiveAndCheckByte(8'h00);
 
        end
        end
        initial begin
        initial begin
                // timeout
                // timeout
                #10000000;
                #10000000;
      tbErrorCnt=tbErrorCnt+1;
      tbErrorCnt=tbErrorCnt+1;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.