OpenCores
URL https://opencores.org/ocsvn/lateq/lateq/trunk

Subversion Repositories lateq

[/] [lateq/] [trunk/] [hdl_various_types/] [src/] [ex1_proc.vhd] - Diff between revs 2 and 4

Show entire file | Details | Blame | View Log

Rev 2 Rev 4
Line 104... Line 104...
  s_position_a.lateq_mrk <= dout_max.lateq_mrk;
  s_position_a.lateq_mrk <= dout_max.lateq_mrk;
  -- pragma translate_on
  -- pragma translate_on
 
 
  ex1_eq_mf_1: entity work.ex1_eq_mf
  ex1_eq_mf_1: entity work.ex1_eq_mf
    generic map (
    generic map (
      LEQ_ID => "EQ1")
      LEQ_ID => "LCEQ1")
    port map (
    port map (
      in0   => din_int,
      in0   => din_int,
      out0  => din_int_a,
      out0  => din_int_a,
      in1   => s_position_a,
      in1   => s_position_a,
      out1  => s_position_b,
      out1  => s_position_b,
Line 179... Line 179...
      rst_p  => rst_p);
      rst_p  => rst_p);
  -- Now we have to equalize delays between the position, the sum
  -- Now we have to equalize delays between the position, the sum
  -- of charge, and the weighted sum of charge
  -- of charge, and the weighted sum of charge
  ex1_eq_calc_1: entity work.ex1_eq_calc
  ex1_eq_calc_1: entity work.ex1_eq_calc
    generic map (
    generic map (
      LEQ_ID => "eqc1")
      LEQ_ID => "LCEQ2")
    port map (
    port map (
      in0   => s_position_b,
      in0   => s_position_b,
      out0  => s_position_c,
      out0  => s_position_c,
      in1   => chrg_sum,
      in1   => chrg_sum,
      out1  => chrg_sum_b,
      out1  => chrg_sum_b,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.