OpenCores
URL https://opencores.org/ocsvn/lxp32/lxp32/trunk

Subversion Repositories lxp32

[/] [lxp32/] [trunk/] [doc/] [src/] [trm/] [frontmatter.tex] - Diff between revs 6 and 9

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 6 Rev 9
Line 13... Line 13...
        \vspace{\onelineskip}
        \vspace{\onelineskip}
        \huge \lxp{}\par
        \huge \lxp{}\par
        \Large a lightweight open source 32-bit CPU core\par
        \Large a lightweight open source 32-bit CPU core\par
        \LARGE \textbf{Technical Reference Manual}\par
        \LARGE \textbf{Technical Reference Manual}\par
        \vspace{1.2\onelineskip}
        \vspace{1.2\onelineskip}
        \large Version 1.1\par
        \large Version 1.2\par
        \vspace*{4\onelineskip}
        \vspace*{4\onelineskip}
        \end{center}
        \end{center}
        \vspace*{\fill}
        \vspace*{\fill}
\end{adjustwidth*}
\end{adjustwidth*}
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.