OpenCores
URL https://opencores.org/ocsvn/lxp32/lxp32/trunk

Subversion Repositories lxp32

[/] [lxp32/] [trunk/] [rtl/] [lxp32_cpu.vhd] - Diff between revs 9 and 12

Show entire file | Details | Blame | View Log

Rev 9 Rev 12
Line 87... Line 87...
 
 
signal interrupt_valid: std_logic;
signal interrupt_valid: std_logic;
signal interrupt_vector: std_logic_vector(2 downto 0);
signal interrupt_vector: std_logic_vector(2 downto 0);
signal interrupt_ready: std_logic;
signal interrupt_ready: std_logic;
signal interrupt_return: std_logic;
signal interrupt_return: std_logic;
 
signal interrupt_wakeup: std_logic;
 
 
begin
begin
 
 
fetch_inst: entity work.lxp32_fetch(rtl)
fetch_inst: entity work.lxp32_fetch(rtl)
        generic map(
        generic map(
Line 130... Line 131...
 
 
                interrupt_valid_i=>interrupt_valid,
                interrupt_valid_i=>interrupt_valid,
                interrupt_vector_i=>interrupt_vector,
                interrupt_vector_i=>interrupt_vector,
                interrupt_ready_o=>interrupt_ready,
                interrupt_ready_o=>interrupt_ready,
 
 
 
                wakeup_i=>interrupt_wakeup,
 
 
                sp_raddr1_o=>sp_raddr1,
                sp_raddr1_o=>sp_raddr1,
                sp_rdata1_i=>sp_rdata1,
                sp_rdata1_i=>sp_rdata1,
                sp_raddr2_o=>sp_raddr2,
                sp_raddr2_o=>sp_raddr2,
                sp_rdata2_i=>sp_rdata2,
                sp_rdata2_i=>sp_rdata2,
 
 
Line 246... Line 249...
                interrupt_valid_o=>interrupt_valid,
                interrupt_valid_o=>interrupt_valid,
                interrupt_vector_o=>interrupt_vector,
                interrupt_vector_o=>interrupt_vector,
                interrupt_ready_i=>interrupt_ready,
                interrupt_ready_i=>interrupt_ready,
                interrupt_return_i=>interrupt_return,
                interrupt_return_i=>interrupt_return,
 
 
 
                wakeup_o=>interrupt_wakeup,
 
 
                sp_waddr_i=>sp_waddr,
                sp_waddr_i=>sp_waddr,
                sp_we_i=>sp_we,
                sp_we_i=>sp_we,
                sp_wdata_i=>sp_wdata
                sp_wdata_i=>sp_wdata
        );
        );
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.