OpenCores
URL https://opencores.org/ocsvn/lxp32/lxp32/trunk

Subversion Repositories lxp32

[/] [lxp32/] [trunk/] [rtl/] [lxp32_interrupt_mux.vhd] - Diff between revs 2 and 6

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 6
Line 18... Line 18...
                clk_i: in std_logic;
                clk_i: in std_logic;
                rst_i: in std_logic;
                rst_i: in std_logic;
 
 
                irq_i: in std_logic_vector(7 downto 0);
                irq_i: in std_logic_vector(7 downto 0);
 
 
                interrupts_enabled_i: in std_logic_vector(7 downto 0);
 
                interrupts_blocked_i: in std_logic_vector(7 downto 0);
 
 
 
                interrupt_valid_o: out std_logic;
                interrupt_valid_o: out std_logic;
                interrupt_vector_o: out std_logic_vector(2 downto 0);
                interrupt_vector_o: out std_logic_vector(2 downto 0);
                interrupt_ready_i: in std_logic;
                interrupt_ready_i: in std_logic;
                interrupt_return_i: in std_logic
                interrupt_return_i: in std_logic;
 
 
 
                sp_waddr_i: in std_logic_vector(7 downto 0);
 
                sp_we_i: in std_logic;
 
                sp_wdata_i: in std_logic_vector(31 downto 0)
        );
        );
end entity;
end entity;
 
 
architecture rtl of lxp32_interrupt_mux is
architecture rtl of lxp32_interrupt_mux is
 
 
Line 39... Line 40...
 
 
signal pending_interrupts: std_logic_vector(irq_i'range):=(others=>'0');
signal pending_interrupts: std_logic_vector(irq_i'range):=(others=>'0');
 
 
signal interrupt_valid: std_logic:='0';
signal interrupt_valid: std_logic:='0';
 
 
 
signal interrupts_enabled: std_logic_vector(7 downto 0):=(others=>'0');
 
signal interrupts_blocked: std_logic_vector(7 downto 0):=(others=>'0');
 
 
begin
begin
 
 
-- Note: "disabled" interrupts (i.e. for which interrupts_enabled_i(i)='0')
-- Note: "disabled" interrupts (i.e. for which interrupts_enabled_i(i)='0')
-- are ignored completely, meaning that the interrupt handler won't be
-- are ignored completely, meaning that the interrupt handler won't be
-- called even if the interrupt is enabled later. Conversely, "blocked"
-- called even if the interrupt is enabled later. Conversely, "blocked"
Line 55... Line 59...
                if rst_i='1' then
                if rst_i='1' then
                        irq_reg<=(others=>'0');
                        irq_reg<=(others=>'0');
                        pending_interrupts<=(others=>'0');
                        pending_interrupts<=(others=>'0');
                        state<=Ready;
                        state<=Ready;
                        interrupt_valid<='0';
                        interrupt_valid<='0';
 
                        interrupt_vector_o<=(others=>'-');
                else
                else
                        irq_reg<=irq_i;
                        irq_reg<=irq_i;
 
 
                        pending_interrupts<=(pending_interrupts or
                        pending_interrupts<=(pending_interrupts or
                                (irq_i and not irq_reg)) and
                                (irq_i and not irq_reg)) and
                                interrupts_enabled_i;
                                interrupts_enabled;
 
 
                        case state is
                        case state is
                        when Ready =>
                        when Ready =>
                                for i in pending_interrupts'reverse_range loop -- lower interrupts have priority
                                for i in pending_interrupts'reverse_range loop -- lower interrupts have priority
                                        if pending_interrupts(i)='1' and interrupts_blocked_i(i)='0' then
                                        if pending_interrupts(i)='1' and interrupts_blocked(i)='0' then
                                                pending_interrupts(i)<='0';
                                                pending_interrupts(i)<='0';
                                                interrupt_valid<='1';
                                                interrupt_valid<='1';
                                                interrupt_vector_o<=std_logic_vector(to_unsigned(i,3));
                                                interrupt_vector_o<=std_logic_vector(to_unsigned(i,3));
                                                state<=Requested;
                                                state<=Requested;
                                                exit;
                                                exit;
Line 89... Line 94...
        end if;
        end if;
end process;
end process;
 
 
interrupt_valid_o<=interrupt_valid;
interrupt_valid_o<=interrupt_valid;
 
 
 
process (clk_i) is
 
begin
 
        if rising_edge(clk_i) then
 
                if rst_i='1' then
 
                        interrupts_enabled<=(others=>'0');
 
                        interrupts_blocked<=(others=>'0');
 
                elsif sp_we_i='1' and sp_waddr_i=X"FC" then
 
                        interrupts_enabled<=sp_wdata_i(7 downto 0);
 
                        interrupts_blocked<=sp_wdata_i(15 downto 8);
 
                end if;
 
        end if;
 
end process;
 
 
end architecture;
end architecture;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.