OpenCores
URL https://opencores.org/ocsvn/lxp32/lxp32/trunk

Subversion Repositories lxp32

[/] [lxp32/] [trunk/] [rtl/] [lxp32_scratchpad.vhd] - Diff between revs 2 and 6

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 6
Line 40... Line 40...
 
 
-- RAM 1
-- RAM 1
 
 
ram_inst1: entity work.lxp32_ram256x32(rtl)
ram_inst1: entity work.lxp32_ram256x32(rtl)
        port map(
        port map(
                wclk_i=>clk_i,
                clk_i=>clk_i,
 
 
                we_i=>we_i,
                we_i=>we_i,
                waddr_i=>waddr_i,
                waddr_i=>waddr_i,
                wdata_i=>wdata_i,
                wdata_i=>wdata_i,
 
 
                rclk_i=>clk_i,
 
                re_i=>'1',
                re_i=>'1',
                raddr_i=>raddr1_i,
                raddr_i=>raddr1_i,
                rdata_o=>ram1_rdata
                rdata_o=>ram1_rdata
        );
        );
 
 
-- RAM 2
-- RAM 2
 
 
ram_inst2: entity work.lxp32_ram256x32(rtl)
ram_inst2: entity work.lxp32_ram256x32(rtl)
        port map(
        port map(
                wclk_i=>clk_i,
                clk_i=>clk_i,
 
 
                we_i=>we_i,
                we_i=>we_i,
                waddr_i=>waddr_i,
                waddr_i=>waddr_i,
                wdata_i=>wdata_i,
                wdata_i=>wdata_i,
 
 
                rclk_i=>clk_i,
 
                re_i=>'1',
                re_i=>'1',
                raddr_i=>raddr2_i,
                raddr_i=>raddr2_i,
                rdata_o=>ram2_rdata
                rdata_o=>ram2_rdata
        );
        );
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.