OpenCores
URL https://opencores.org/ocsvn/lxp32/lxp32/trunk

Subversion Repositories lxp32

[/] [lxp32/] [trunk/] [rtl/] [lxp32_shifter.vhd] - Diff between revs 2 and 6

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 6
Line 66... Line 66...
process (clk_i) is
process (clk_i) is
begin
begin
        if rising_edge(clk_i) then
        if rising_edge(clk_i) then
                if rst_i='1' then
                if rst_i='1' then
                        ceo<='0';
                        ceo<='0';
 
                        stage2_data<=(others=>'-');
 
                        stage2_s<=(others=>'-');
 
                        stage2_fill<='-';
 
                        stage2_right<='-';
                else
                else
                        ceo<=ce_i;
                        ceo<=ce_i;
                        stage2_data<=cascades(2);
                        stage2_data<=cascades(2);
                        stage2_s<=s_i;
                        stage2_s<=s_i;
                        stage2_fill<=fill;
                        stage2_fill<=fill;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.