OpenCores
URL https://opencores.org/ocsvn/lxp32/lxp32/trunk

Subversion Repositories lxp32

[/] [lxp32/] [trunk/] [rtl/] [lxp32u_top.vhd] - Diff between revs 2 and 6

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 6
Line 25... Line 25...
entity lxp32u_top is
entity lxp32u_top is
        generic(
        generic(
                DBUS_RMW: boolean:=false;
                DBUS_RMW: boolean:=false;
                DIVIDER_EN: boolean:=true;
                DIVIDER_EN: boolean:=true;
                MUL_ARCH: string:="dsp";
                MUL_ARCH: string:="dsp";
                START_ADDR: std_logic_vector(29 downto 0):=(others=>'0')
                START_ADDR: std_logic_vector(31 downto 0):=(others=>'0')
        );
        );
        port(
        port(
                clk_i: in std_logic;
                clk_i: in std_logic;
                rst_i: in std_logic;
                rst_i: in std_logic;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.