URL
https://opencores.org/ocsvn/lxp32/lxp32/trunk
Only display areas with differences |
Details |
Blame |
View Log
Rev 2 |
Rev 9 |
---------------------------------------------------------------------
|
---------------------------------------------------------------------
|
-- LXP32 instruction cache testbench package
|
-- LXP32 instruction cache testbench package
|
--
|
--
|
-- Part of the LXP32 instruction cache testbench
|
-- Part of the LXP32 instruction cache testbench
|
--
|
--
|
-- Copyright (c) 2016 by Alex I. Kuznetsov
|
-- Copyright (c) 2016 by Alex I. Kuznetsov
|
--
|
--
|
-- Auxiliary package declaration for the LXP32 instruction cache
|
-- Auxiliary package declaration for the LXP32 instruction cache
|
-- testbench.
|
-- testbench.
|
---------------------------------------------------------------------
|
---------------------------------------------------------------------
|
|
|
library ieee;
|
library ieee;
|
use ieee.std_logic_1164.all;
|
use ieee.std_logic_1164.all;
|
|
|
package tb_pkg is
|
package tb_pkg is
|
constant xor_constant: std_logic_vector(31 downto 0):=X"12345678";
|
constant xor_constant: std_logic_vector(31 downto 0):=X"12345678";
|
end package;
|
end package;
|
|
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.