OpenCores
URL https://opencores.org/ocsvn/lxp32/lxp32/trunk

Subversion Repositories lxp32

[/] [lxp32/] [trunk/] [verify/] [lxp32/] [src/] [platform/] [coprocessor.vhd] - Diff between revs 9 and 12

Show entire file | Details | Blame | View Log

Rev 9 Rev 12
Line 36... Line 36...
 
 
architecture rtl of coprocessor is
architecture rtl of coprocessor is
 
 
signal value: unsigned(31 downto 0):=(others=>'0');
signal value: unsigned(31 downto 0):=(others=>'0');
signal result: unsigned(31 downto 0):=(others=>'0');
signal result: unsigned(31 downto 0):=(others=>'0');
signal cnt: integer range 0 to 5:=0;
signal cnt: integer range 0 to 50:=0;
signal irq: std_logic:='0';
signal irq: std_logic:='0';
 
 
begin
begin
 
 
process (clk_i) is
process (clk_i) is
Line 65... Line 65...
                                for i in wbs_sel_i'range loop
                                for i in wbs_sel_i'range loop
                                        if wbs_sel_i(i)='1' then
                                        if wbs_sel_i(i)='1' then
                                                if wbs_adr_i="00"&X"000000" then
                                                if wbs_adr_i="00"&X"000000" then
                                                        value(i*8+7 downto i*8)<=
                                                        value(i*8+7 downto i*8)<=
                                                                unsigned(wbs_dat_i(i*8+7 downto i*8));
                                                                unsigned(wbs_dat_i(i*8+7 downto i*8));
                                                        cnt<=5;
                                                        cnt<=50;
                                                end if;
                                                end if;
                                        end if;
                                        end if;
                                end loop;
                                end loop;
                        end if;
                        end if;
                end if;
                end if;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.