OpenCores
URL https://opencores.org/ocsvn/lxp32/lxp32/trunk

Subversion Repositories lxp32

[/] [lxp32/] [trunk/] [verify/] [lxp32/] [src/] [platform/] [platform.vhd] - Diff between revs 2 and 6

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 6
Line 15... Line 15...
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
 
entity platform is
entity platform is
        generic(
        generic(
 
                CPU_DBUS_RMW: boolean;
 
                CPU_MUL_ARCH: string;
                MODEL_LXP32C: boolean;
                MODEL_LXP32C: boolean;
                THROTTLE_DBUS: boolean;
                THROTTLE_DBUS: boolean;
                THROTTLE_IBUS: boolean
                THROTTLE_IBUS: boolean
        );
        );
        port(
        port(
Line 176... Line 178...
cpu_irq<="00000"&coprocessor_irq&timer_elapsed&timer_elapsed;
cpu_irq<="00000"&coprocessor_irq&timer_elapsed&timer_elapsed;
 
 
gen_lxp32u: if not MODEL_LXP32C generate
gen_lxp32u: if not MODEL_LXP32C generate
        lxp32u_top_inst: entity work.lxp32u_top(rtl)
        lxp32u_top_inst: entity work.lxp32u_top(rtl)
                generic map(
                generic map(
                        DBUS_RMW=>false,
                        DBUS_RMW=>CPU_DBUS_RMW,
                        DIVIDER_EN=>true,
                        DIVIDER_EN=>true,
                        MUL_ARCH=>"dsp",
                        MUL_ARCH=>CPU_MUL_ARCH,
                        START_ADDR=>(others=>'0')
                        START_ADDR=>(others=>'0')
                )
                )
                port map(
                port map(
                        clk_i=>clk_i,
                        clk_i=>clk_i,
                        rst_i=>cpu_rst,
                        rst_i=>cpu_rst,
Line 206... Line 208...
end generate;
end generate;
 
 
gen_lxp32c: if MODEL_LXP32C generate
gen_lxp32c: if MODEL_LXP32C generate
        lxp32c_top_inst: entity work.lxp32c_top(rtl)
        lxp32c_top_inst: entity work.lxp32c_top(rtl)
                generic map(
                generic map(
                        DBUS_RMW=>false,
                        DBUS_RMW=>CPU_DBUS_RMW,
                        DIVIDER_EN=>true,
                        DIVIDER_EN=>true,
                        IBUS_BURST_SIZE=>16,
                        IBUS_BURST_SIZE=>16,
                        IBUS_PREFETCH_SIZE=>32,
                        IBUS_PREFETCH_SIZE=>32,
                        MUL_ARCH=>"dsp",
                        MUL_ARCH=>CPU_MUL_ARCH,
                        START_ADDR=>(others=>'0')
                        START_ADDR=>(others=>'0')
                )
                )
                port map(
                port map(
                        clk_i=>clk_i,
                        clk_i=>clk_i,
                        rst_i=>cpu_rst,
                        rst_i=>cpu_rst,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.