OpenCores
URL https://opencores.org/ocsvn/lxp32/lxp32/trunk

Subversion Repositories lxp32

[/] [lxp32/] [trunk/] [verify/] [lxp32/] [src/] [platform/] [program_ram.vhd] - Diff between revs 2 and 6

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 6
Line 14... Line 14...
---------------------------------------------------------------------
---------------------------------------------------------------------
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
 
 
use work.common_pkg.all;
 
 
entity program_ram is
entity program_ram is
        generic(
        generic(
                THROTTLE: boolean
                THROTTLE: boolean
        );
        );
        port(
        port(
Line 89... Line 91...
end generate;
end generate;
 
 
process (clk_i) is
process (clk_i) is
begin
begin
        if rising_edge(clk_i) then
        if rising_edge(clk_i) then
                ack_read<=wbs_cyc_i and wbs_stb_i and not wbs_we_i;
                ack_read<=wbs_cyc_i and wbs_stb_i and not wbs_we_i and not ack_read;
        end if;
        end if;
end process;
end process;
 
 
ack_write<=wbs_cyc_i and wbs_stb_i and wbs_we_i;
ack_write<=wbs_cyc_i and wbs_stb_i and wbs_we_i;
 
 
wbs_ack_o<=ack_read or ack_write;
wbs_ack_o<=ack_read or ack_write;
wbs_dat_o<=ram_a_rdata;
wbs_dat_o<=ram_a_rdata;
 
 
-- Low Latency Interface (with optional pseudo-random throttling)
-- Low Latency Interface (with optional pseudo-random throttling)
 
 
 
process (clk_i) is
 
begin
 
        if rising_edge(clk_i) then
 
                assert lli_re_i='0' or lli_adr_i(lli_adr_i'high downto 14)=X"0000"
 
                        report "Attempted to fetch instruction from a non-existent address 0x"&
 
                                hex_string(lli_adr_i&"00")
 
                        severity failure;
 
        end if;
 
end process;
 
 
gen_throttling: if THROTTLE generate
gen_throttling: if THROTTLE generate
        throttle_inst: entity work.scrambler(rtl)
        throttle_inst: entity work.scrambler(rtl)
                generic map(TAP1=>9,TAP2=>11)
                generic map(TAP1=>9,TAP2=>11)
                port map(clk_i=>clk_i,rst_i=>rst_i,ce_i=>'1',d_o=>prbs);
                port map(clk_i=>clk_i,rst_i=>rst_i,ce_i=>'1',d_o=>prbs);
end generate;
end generate;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.