OpenCores
URL https://opencores.org/ocsvn/m1_core/m1_core/trunk

Subversion Repositories m1_core

[/] [m1_core/] [trunk/] [hdl/] [filelist.dc] - Diff between revs 54 and 58

Show entire file | Details | Blame | View Log

Rev 54 Rev 58
Line 1... Line 1...
# Synthesis script for dc_shell (Tcl mode)
# Synthesis script for dc_shell (Tcl mode)
 
 
# Analyze
# Analyze
 
 
analyze -format verilog ~/m1_core/hdl/rtl/m1_core/m1_alu.v
analyze -format verilog ~/m1_core/trunk/hdl/rtl/m1_core/trunk/m1_alu.v
analyze -format verilog ~/m1_core/hdl/rtl/m1_core/m1_mul.v
analyze -format verilog ~/m1_core/trunk/hdl/rtl/m1_core/trunk/m1_mul.v
analyze -format verilog ~/m1_core/hdl/rtl/m1_core/m1_div.v
analyze -format verilog ~/m1_core/trunk/hdl/rtl/m1_core/trunk/m1_div.v
analyze -format verilog ~/m1_core/hdl/rtl/m1_core/m1_cpu.v
analyze -format verilog ~/m1_core/trunk/hdl/rtl/m1_core/trunk/m1_cpu.v
analyze -format verilog ~/m1_core/hdl/rtl/m1_core/m1_mmu.v
analyze -format verilog ~/m1_core/trunk/hdl/rtl/m1_core/trunk/m1_mmu.v
analyze -format verilog ~/m1_core/hdl/rtl/m1_core/m1_core.v
analyze -format verilog ~/m1_core/trunk/hdl/rtl/m1_core/trunk/m1_core.v
 
 
# Technology-independent elaboration and linking
# Technology-independent elaboration and linking
 
 
set active_design m1_core
set active_design m1_core
elaborate $active_design
elaborate $active_design

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.