OpenCores
URL https://opencores.org/ocsvn/m1_core/m1_core/trunk

Subversion Repositories m1_core

[/] [m1_core/] [trunk/] [hdl/] [rtl/] [m1_core/] [m1_cpu.v] - Diff between revs 61 and 64

Show entire file | Details | Blame | View Log

Rev 61 Rev 64
Line 1... Line 1...
/*
/*
 * Simply RISC M1 Central Processing Unit
 * M1 Central Processing Unit
 */
 */
 
 
`include "m1_defs.vh"
`include "m1_defs.vh"
 
 
module m1_cpu (
module m1_cpu (

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.