OpenCores
URL https://opencores.org/ocsvn/m1_core/m1_core/trunk

Subversion Repositories m1_core

[/] [m1_core/] [trunk/] [hdl/] [rtl/] [m1_core/] [m1_defs.vh] - Diff between revs 54 and 64

Show entire file | Details | Blame | View Log

Rev 54 Rev 64
Line 1... Line 1...
/*
/*
 * Simply RISC M1 Defines
 * M1 Defines
 */
 */
 
 
// Useful constants
// Useful constants
`define NOP          32'h00000000
`define NOP          32'h00000000
`define BUBBLE       32'hFFFFFFFF
`define BUBBLE       32'hFFFFFFFF

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.