OpenCores
URL https://opencores.org/ocsvn/m1_core/m1_core/trunk

Subversion Repositories m1_core

[/] [m1_core/] [trunk/] [hdl/] [rtl/] [spartan3esk_top/] [spartan3esk_top.v] - Diff between revs 54 and 64

Show entire file | Details | Blame | View Log

Rev 54 Rev 64
Line 1... Line 1...
/*
/*
 * Simply RISC M1 Core System for Xilinx Spartan-3E 500 Starter Kit
 * M1 Core System for Xilinx Spartan-3E 500 Starter Kit
 */
 */
 
 
`include "ddr_include.v"
`include "ddr_include.v"
 
 
module spartan3esk_top (
module spartan3esk_top (

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.