OpenCores
URL https://opencores.org/ocsvn/mblite/mblite/trunk

Subversion Repositories mblite

[/] [mblite/] [trunk/] [designs/] [core_decoder/] [mblite_stdio.vhd] - Diff between revs 5 and 6

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 5 Rev 6
Line 24... Line 24...
 
 
ENTITY mblite_stdio IS PORT
ENTITY mblite_stdio IS PORT
(
(
    dmem_i : OUT dmem_in_type;
    dmem_i : OUT dmem_in_type;
    dmem_o : IN dmem_out_type;
    dmem_o : IN dmem_out_type;
    clk_i  : IN std_ulogic
    clk_i  : IN std_logic
);
);
END mblite_stdio;
END mblite_stdio;
 
 
ARCHITECTURE arch OF mblite_stdio IS
ARCHITECTURE arch OF mblite_stdio IS
BEGIN
BEGIN
    -- Character device
    -- Character device
    stdio: PROCESS(clk_i)
    stdio: PROCESS(clk_i)
            VARIABLE s    : line;
            VARIABLE s    : line;
            VARIABLE byte : std_ulogic_vector(7 DOWNTO 0);
            VARIABLE byte : std_logic_vector(7 DOWNTO 0);
            VARIABLE char : character;
            VARIABLE char : character;
        BEGIN
        BEGIN
            dmem_i.dat_i <= (OTHERS => '0');
            dmem_i.dat_i <= (OTHERS => '0');
            dmem_i.ena_i <= '1';
            dmem_i.ena_i <= '1';
            IF rising_edge(clk_i) THEN
            IF rising_edge(clk_i) THEN

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.