OpenCores
URL https://opencores.org/ocsvn/minimips/minimips/trunk

Subversion Repositories minimips

[/] [minimips/] [trunk/] [miniMIPS/] [bench/] [bench_minimips.vhd] - Diff between revs 14 and 17

Show entire file | Details | Blame | View Log

Rev 14 Rev 17
Line 156... Line 156...
    process (ram_adr, ram_r_w, ram_data)
    process (ram_adr, ram_r_w, ram_data)
    begin -- Emulation of an I/O controller
    begin -- Emulation of an I/O controller
        ram_data <= (others => 'Z');
        ram_data <= (others => 'Z');
 
 
        case ram_adr is
        case ram_adr is
            when X"00001000" => -- declenche une lecture avec interruption
            when X"00001000" => -- program an interrupt after 1000ns
                                it_mat <= '1' after 1000 ns;
                                it_mat <= '1' after 1000 ns;
                                ram_rdy <= '1' after 5 ns;
                                ram_rdy <= '1' after 5 ns;
            when X"00001001" => -- fournit la donnee et lache l'it
            when X"00001001" => -- clear interrupt line on cpu
                                it_mat <= '0';
                                it_mat <= '0';
                                ram_data <= X"FFFFFFFF";
                                ram_data <= X"FFFFFFFF";
                                ram_rdy <= '1' after 5 ns;
                                ram_rdy <= '1' after 5 ns;
            when others      => ram_rdy <= 'L';
            when others      => ram_rdy <= 'L';
        end case;
        end case;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.