OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [branches/] [16rgb/] [trunk/] [design/] [common/] [JPEG_PKG.vhd] - Diff between revs 32 and 36

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 32 Rev 36
Line 25... Line 25...
  use ieee.numeric_std.all;
  use ieee.numeric_std.all;
 
 
package JPEG_PKG is
package JPEG_PKG is
 
 
  -- do not change, constant
  -- do not change, constant
  constant C_HDR_SIZE         : integer := 407;
  constant C_HDR_SIZE         : integer := 623;
 
 
  -- warning! this parameter heavily affects memory size required
  -- warning! this parameter heavily affects memory size required
  -- if expected image width is known change this parameter to match this
  -- if expected image width is known change this parameter to match this
  -- otherwise some onchip RAM will be wasted and never used
  -- otherwise some onchip RAM will be wasted and never used
  constant C_MAX_LINE_WIDTH   : integer := 640;
  constant C_MAX_LINE_WIDTH   : integer := 640;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.