OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [branches/] [16rgb/] [trunk/] [tb/] [vhdl/] [JPEG_TB.VHD] - Diff between revs 48 and 52

Show entire file | Details | Blame | View Log

Rev 48 Rev 52
Line 202... Line 202...
    file_close(f_capture_bin);
    file_close(f_capture_bin);
 
 
    wait;
    wait;
  end process;
  end process;
 
 
 
 
  backpressure : process(CLK, RST)
 
  begin
 
    if RST = '1' then
 
      outif_almost_full <= '0';
      outif_almost_full <= '0';
      count1 <= (others => '0');
 
    elsif CLK'event and CLK = '1' then
  --backpressure : process(CLK, RST)
      if count1 = 10000 then
  --begin
        count1 <= (others => '0');
  --  if RST = '1' then
        outif_almost_full <= not outif_almost_full;
  --    outif_almost_full <= '0';
      else
  --    count1 <= (others => '0');
        count1 <= count1 + 1;
  --  elsif CLK'event and CLK = '1' then
      end if;
  --    if count1 = 10000 then
    end if;
  --      count1 <= (others => '0');
  end process;
  --      outif_almost_full <= not outif_almost_full;
 
  --    else
 
  --      count1 <= count1 + 1;
 
  --    end if;
 
  --  end if;
 
  --end process;
 
 
end TB;
end TB;
-----------------------------------
-----------------------------------
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.