OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [design/] [BufFifo/] [BUF_FIFO.vhd] - Diff between revs 58 and 61

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 58 Rev 61
Line 80... Line 80...
  signal ramd             : STD_LOGIC_VECTOR(C_PIXEL_BITS-1 downto 0);
  signal ramd             : STD_LOGIC_VECTOR(C_PIXEL_BITS-1 downto 0);
  signal ramwaddr         : unsigned(log2(C_MAX_LINE_WIDTH*C_NUM_LINES)-1 downto 0);
  signal ramwaddr         : unsigned(log2(C_MAX_LINE_WIDTH*C_NUM_LINES)-1 downto 0);
  signal ramenw           : STD_LOGIC;
  signal ramenw           : STD_LOGIC;
  signal ramraddr         : unsigned(log2(C_MAX_LINE_WIDTH*C_NUM_LINES)-1 downto 0);
  signal ramraddr         : unsigned(log2(C_MAX_LINE_WIDTH*C_NUM_LINES)-1 downto 0);
 
 
  signal pix_inblk_cnt    : unsigned(2 downto 0);
  signal pix_inblk_cnt    : unsigned(3 downto 0);
  signal pix_inblk_cnt_d1 : unsigned(2 downto 0);
  signal pix_inblk_cnt_d1 : unsigned(3 downto 0);
  signal line_inblk_cnt   : unsigned(2 downto 0);
  signal line_inblk_cnt   : unsigned(2 downto 0);
 
 
  signal read_block_cnt   : unsigned(12 downto 0);
  signal read_block_cnt   : unsigned(12 downto 0);
  signal read_block_cnt_d1 : unsigned(12 downto 0);
  signal read_block_cnt_d1 : unsigned(12 downto 0);
  signal write_block_cnt  : unsigned(12 downto 0);
  signal write_block_cnt  : unsigned(12 downto 0);
Line 212... Line 212...
      else
      else
        fdct_fifo_hf_full <= '0';
        fdct_fifo_hf_full <= '0';
      end if;
      end if;
 
 
      fifo_almost_full <= '0';
      fifo_almost_full <= '0';
      if C_EXTRA_LINES = 0 then
 
        if wr_line_idx = rd_line_idx + C_NUM_LINES-1 then
        if wr_line_idx = rd_line_idx + C_NUM_LINES-1 then
          if pixel_cnt >= unsigned(img_size_x)-1-1 then
          if pixel_cnt >= unsigned(img_size_x)-1-1 then
            fifo_almost_full <= '1';
            fifo_almost_full <= '1';
          end if;
          end if;
        elsif wr_line_idx > rd_line_idx + C_NUM_LINES-1 then
        elsif wr_line_idx > rd_line_idx + C_NUM_LINES-1 then
          fifo_almost_full <= '1';
          fifo_almost_full <= '1';
        end if;
        end if;
      else
 
        if wr_line_idx > rd_line_idx + C_NUM_LINES-1 then
 
          fifo_almost_full <= '1';
 
        end if;
 
      end if;
 
 
 
    end if;
    end if;
  end process;
  end process;
 
 
  -------------------------------------------------------------------
  -------------------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.