OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [design/] [JFIFGen/] [JFIFGen.vhd] - Diff between revs 36 and 37

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 36 Rev 37
Line 101... Line 101...
  signal rd_cnt_d2    : unsigned(rd_cnt'range);
  signal rd_cnt_d2    : unsigned(rd_cnt'range);
  signal eoi_cnt      : unsigned(1 downto 0);
  signal eoi_cnt      : unsigned(1 downto 0);
  signal eoi_wr       : std_logic;
  signal eoi_wr       : std_logic;
  signal eoi_wr_d1    : std_logic;
  signal eoi_wr_d1    : std_logic;
 
 
 
  component HeaderRam is
 
  port
 
  (
 
    d                 : in  STD_LOGIC_VECTOR(7 downto 0);
 
    waddr             : in  STD_LOGIC_VECTOR(9 downto 0);
 
    raddr             : in  STD_LOGIC_VECTOR(9 downto 0);
 
    we                : in  STD_LOGIC;
 
    clk               : in  STD_LOGIC;
 
 
 
    q                 : out STD_LOGIC_VECTOR(7 downto 0)
 
  );
 
  end component;
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Architecture: begin
-- Architecture: begin
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
begin
begin
 
 
  -------------------------------------------------------------------
  -------------------------------------------------------------------
  -- Header RAM
  -- Header RAM
  -------------------------------------------------------------------
  -------------------------------------------------------------------
  U_Header_RAM : entity work.RAMZ
  U_Header_RAM : entity work.HeaderRam
  generic map
 
  (
 
      RAMADDR_W     => 10,
 
      RAMDATA_W     => 8
 
  )
 
  port map
  port map
  (
  (
        d           => hr_data,
        d           => hr_data,
        waddr       => hr_waddr,
        waddr       => hr_waddr,
        raddr       => hr_raddr,
        raddr       => hr_raddr,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.