OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [design/] [quantizer/] [QUANTIZER.vhd] - Diff between revs 32 and 61

Show entire file | Details | Blame | View Log

Rev 32 Rev 61
Line 38... Line 38...
      di         : in  STD_LOGIC_VECTOR(SIZE_C-1 downto 0);
      di         : in  STD_LOGIC_VECTOR(SIZE_C-1 downto 0);
      divalid    : in  STD_LOGIC;
      divalid    : in  STD_LOGIC;
      qdata      : in  std_logic_vector(7 downto 0);
      qdata      : in  std_logic_vector(7 downto 0);
      qwaddr     : in  std_logic_vector(6 downto 0);
      qwaddr     : in  std_logic_vector(6 downto 0);
      qwren      : in  std_logic;
      qwren      : in  std_logic;
      cmp_idx    : in  unsigned(1 downto 0);
      cmp_idx    : in  unsigned(2 downto 0);
 
 
      do         : out STD_LOGIC_VECTOR(SIZE_C-1 downto 0);
      do         : out STD_LOGIC_VECTOR(SIZE_C-1 downto 0);
      dovalid    : out STD_LOGIC
      dovalid    : out STD_LOGIC
    );
    );
end quantizer;
end quantizer;
Line 113... Line 113...
    if clk = '1' and clk'event then
    if clk = '1' and clk'event then
      if rst = '1' then
      if rst = '1' then
        table_select <= '0';
        table_select <= '0';
      else
      else
        -- luminance table select
        -- luminance table select
        if cmp_idx = 0 then
        if cmp_idx < 2 then
          table_select <= '0';
          table_select <= '0';
        -- chrominance table select
        -- chrominance table select
        else
        else
          table_select <= '1';
          table_select <= '1';
        end if;
        end if;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.