OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [design/] [rle/] [RLE_TOP.VHD] - Diff between revs 36 and 38

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 36 Rev 38
Line 210... Line 210...
  -------------------------------------------------------------------
  -------------------------------------------------------------------
  p_dval : process(CLK, RST)
  p_dval : process(CLK, RST)
  begin
  begin
    if RST = '1' then
    if RST = '1' then
      huf_dval_p0 <= '0';
      huf_dval_p0 <= '0';
      huf_dval    <= '0';
      --huf_dval    <= '0';
    elsif CLK'event and CLK = '1' then
    elsif CLK'event and CLK = '1' then
      huf_dval_p0 <= huf_rden;
      huf_dval_p0 <= huf_rden;
      huf_dval    <= huf_rden;
      --huf_dval    <= huf_rden;
    end if;
    end if;
  end process;
  end process;
 
 
 
  huf_dval    <= huf_rden;
 
 
end architecture RTL;
end architecture RTL;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Architecture: end
-- Architecture: end
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.