OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [design/] [top/] [JpegEnc.vhd] - Diff between revs 36 and 42

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 36 Rev 42
Line 65... Line 65...
        iram_fifo_afull    : out std_logic;
        iram_fifo_afull    : out std_logic;
 
 
        -- OUT RAM
        -- OUT RAM
        ram_byte           : out std_logic_vector(7 downto 0);
        ram_byte           : out std_logic_vector(7 downto 0);
        ram_wren           : out std_logic;
        ram_wren           : out std_logic;
        ram_wraddr         : out std_logic_vector(23 downto 0)
        ram_wraddr         : out std_logic_vector(23 downto 0);
 
        outif_almost_full  : in  std_logic
    );
    );
end entity JpegEnc;
end entity JpegEnc;
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
Line 229... Line 230...
  port map
  port map
  (
  (
        CLK                => CLK,
        CLK                => CLK,
        RST                => RST,
        RST                => RST,
 
 
 
        -- output IF
 
        outif_almost_full  => outif_almost_full,
 
 
        -- HOST IF
        -- HOST IF
        sof                => sof,
        sof                => sof,
        img_size_x         => img_size_x,
        img_size_x         => img_size_x,
        img_size_y         => img_size_y,
        img_size_y         => img_size_y,
        jpeg_ready         => jpeg_ready,
        jpeg_ready         => jpeg_ready,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.