OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [tb/] [vhdl/] [HostBFM.vhd] - Diff between revs 49 and 52

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 49 Rev 52
Line 269... Line 269...
          iram_wdata <= (others => 'X');
          iram_wdata <= (others => 'X');
          while(fifo_almost_full = '1') loop
          while(fifo_almost_full = '1') loop
            wait until rising_edge(clk);
            wait until rising_edge(clk);
          end loop;
          end loop;
 
 
          --for i in 0 to 10 loop
          --for i in 0 to 9 loop
          --  wait until rising_edge(clk);
          --  wait until rising_edge(clk);
          --end loop;
          --end loop;
 
 
          iram_wren <= '1';
          iram_wren <= '1';
          iram_wdata <= std_logic_vector(data_word2(C_PIXEL_BITS-1 downto 0));
          iram_wdata <= std_logic_vector(data_word2(C_PIXEL_BITS-1 downto 0));

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.