OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

[/] [mod_sim_exp/] [trunk/] [rtl/] [vhdl/] [core/] [autorun_cntrl.vhd] - Diff between revs 3 and 39

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 3 Rev 39
Line 68... Line 68...
 
 
  signal bit_counter_i    : integer range 0 to 15 := 0;
  signal bit_counter_i    : integer range 0 to 15 := 0;
  signal bit_counter_0_i  : std_logic;
  signal bit_counter_0_i  : std_logic;
  signal bit_counter_15_i : std_logic;
  signal bit_counter_15_i : std_logic;
  signal next_bit_i       : std_logic := '0';
  signal next_bit_i       : std_logic := '0';
  signal next_bit_del_i   : std_logic;
 
 
 
  signal start_cycle_i     : std_logic := '0';
  signal start_cycle_i     : std_logic := '0';
  signal start_cycle_del_i : std_logic;
  signal start_cycle_del_i : std_logic;
 
 
  signal done_i    : std_logic;
  signal done_i    : std_logic;
  signal start_i   : std_logic;
 
  signal running_i : std_logic;
  signal running_i : std_logic;
 
 
  signal start_multiplier_i     : std_logic;
  signal start_multiplier_i     : std_logic;
  signal start_multiplier_del_i : std_logic;
  signal start_multiplier_del_i : std_logic;
  signal mult_done_del_i        : std_logic;
  signal mult_done_del_i        : std_logic;
Line 166... Line 164...
                        mult_done_del_i <= multiplier_done;
                        mult_done_del_i <= multiplier_done;
                end if;
                end if;
        end process DEL_PROC;
        end process DEL_PROC;
 
 
        -- process for delaying signals with 1 clock cycle
        -- process for delaying signals with 1 clock cycle
        CYCLE_CNTR_PROC: process(clk, start)
        CYCLE_CNTR_PROC: process(clk, start, reset)
        begin
        begin
                if start = '1' or reset = '1' then
                if start = '1' or reset = '1' then
                        cycle_counter_i <= '0';
                        cycle_counter_i <= '0';
                elsif rising_edge(clk) then
                elsif rising_edge(clk) then
                        if (e_bits_0_i = '0') and (multiplier_done = '1') then
                        if (e_bits_0_i = '0') and (multiplier_done = '1') then

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.