URL
https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk
[/] [mod_sim_exp/] [trunk/] [rtl/] [vhdl/] [core/] [mod_sim_exp_core.vhd] - Diff between revs 32 and 33
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 32 |
Rev 33 |
Line 112... |
Line 112... |
signal fifo_nopop : std_logic;
|
signal fifo_nopop : std_logic;
|
signal fifo_dout : std_logic_vector(31 downto 0);
|
signal fifo_dout : std_logic_vector(31 downto 0);
|
begin
|
begin
|
|
|
-- The actual multiplier
|
-- The actual multiplier
|
the_multiplier : mont_multiplier
|
the_multiplier : mont_mult_sys_pipeline
|
generic map(
|
generic map(
|
n => n,
|
n => n,
|
nr_stages => t, --(divides n, bits_low & (n-bits_low))
|
nr_stages => t, --(divides n, bits_low & (n-bits_low))
|
stages_low => tl
|
stages_low => tl
|
)
|
)
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.