OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

[/] [mod_sim_exp/] [trunk/] [rtl/] [vhdl/] [core/] [x_shift_reg.vhd] - Diff between revs 20 and 21

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 20 Rev 21
Line 65... Line 65...
    reset  : in  std_logic; -- reset, clears register
    reset  : in  std_logic; -- reset, clears register
    load_x : in  std_logic; -- load operand into shift register   
    load_x : in  std_logic; -- load operand into shift register   
    next_x : in  std_logic; -- next bit of x
    next_x : in  std_logic; -- next bit of x
    p_sel  : in  std_logic_vector(1 downto 0);  -- pipeline selection
    p_sel  : in  std_logic_vector(1 downto 0);  -- pipeline selection
    -- x operand bit out (serial)
    -- x operand bit out (serial)
    x_i    : out std_logic
    xi     : out std_logic
  );
  );
end x_shift_reg;
end x_shift_reg;
 
 
 
 
architecture Behavioral of x_shift_reg is
architecture Behavioral of x_shift_reg is
Line 92... Line 92...
                        end if;
                        end if;
                end if;
                end if;
        end process;
        end process;
 
 
        with p_sel select  -- pipeline select
        with p_sel select  -- pipeline select
                x_i <= x_reg(offset) when "10", -- use bit at offset for high part of pipeline
                xi <= x_reg(offset) when "10", -- use bit at offset for high part of pipeline
                                   x_reg(0) when others;    -- use LS bit for lower part of pipeline
                                   x_reg(0) when others;    -- use LS bit for lower part of pipeline
 
 
end Behavioral;
end Behavioral;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.