OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

[/] [mod_sim_exp/] [trunk/] [rtl/] [vhdl/] [ram/] [tdpramblock_asym.vhd] - Diff between revs 66 and 83

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 66 Rev 83
Line 48... Line 48...
use ieee.std_logic_unsigned.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_arith.all;
 
 
library mod_sim_exp;
library mod_sim_exp;
use mod_sim_exp.std_functions.all;
use mod_sim_exp.std_functions.all;
 
use mod_sim_exp.mod_sim_exp_pkg.all;
 
 
-- altera infers ramblocks from a depth of 9 (or 2 with any ram size recognition option on)
-- altera infers ramblocks from a depth of 9 (or 2 with any ram size recognition option on)
--    and width 64,128,256,512
--    and width 64,128,256,512
-- xilinx infers ramblocks from a depth of 2 and width 32,64,128,256,512
-- xilinx infers ramblocks from a depth of 2 and width 32,64,128,256,512
entity tdpramblock_asym is
entity tdpramblock_asym is
Line 85... Line 86...
   signal doutB_RAM : word_array;
   signal doutB_RAM : word_array;
   signal dinB_RAM  : word_array;
   signal dinB_RAM  : word_array;
 begin
 begin
 
 
  ramblocks : for i in 0 to nrRAMs-1 generate
  ramblocks : for i in 0 to nrRAMs-1 generate
    ramblock : entity mod_sim_exp.tdpram_asym
    ramblock : tdpram_asym
    generic map(
    generic map(
      widthA => RAMwidthA,
      widthA => RAMwidthA,
      depthB => depth,
      depthB => depth,
      device => device
      device => device
    )
    )

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.