OpenCores
URL https://opencores.org/ocsvn/mpmc8/mpmc8/trunk

Subversion Repositories mpmc8

[/] [mpmc8/] [trunk/] [rtl/] [mpmc10/] [mpcm10_cache_wb.sv] - Diff between revs 9 and 10

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 9 Rev 10
Line 371... Line 371...
reg ldcycd1,ldcycd2;
reg ldcycd1,ldcycd2;
always_ff @(posedge wclk)
always_ff @(posedge wclk)
        ldcycd1 <= ld.cyc;
        ldcycd1 <= ld.cyc;
always_ff @(posedge wclk)
always_ff @(posedge wclk)
        ldcycd2 <= ldcycd1;
        ldcycd2 <= ldcycd1;
 
 
always_ff @(posedge wclk)
always_ff @(posedge wclk)
if (rst) begin
if (rst) begin
        for (n = 0; n < 4; n = n + 1)
        for (n = 0; n < 4; n = n + 1)
                vbit[n] <= 'b0;
                vbit[n] <= 'b0;
end
end

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.