OpenCores
URL https://opencores.org/ocsvn/mpmc8/mpmc8/trunk

Subversion Repositories mpmc8

[/] [mpmc8/] [trunk/] [rtl/] [mpmc10/] [mpmc10_data_select.sv] - Diff between revs 5 and 7

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 5 Rev 7
Line 37... Line 37...
import mpmc10_pkg::*;
import mpmc10_pkg::*;
 
 
module mpmc10_data_select(clk, state, dati, dato);
module mpmc10_data_select(clk, state, dati, dato);
parameter WID=256;
parameter WID=256;
input clk;
input clk;
input [3:0] state;
input mpmc10_state_t state;
input [WID-1:0] dati;
input [WID-1:0] dati;
output reg [WID-1:0] dato;
output reg [WID-1:0] dato;
 
 
// Setting the write data
// Setting the write data
always_ff @(posedge clk)
always_ff @(posedge clk)
if (state==mpmc10_pkg::IDLE) begin
if (state==PRESET2) begin
        dato <= dati;
        dato <= dati;
end
end
 
 
endmodule
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.