OpenCores
URL https://opencores.org/ocsvn/mpmc8/mpmc8/trunk

Subversion Repositories mpmc8

[/] [mpmc8/] [trunk/] [rtl/] [mpmc10/] [mpmc10_set_write_mask_wb.sv] - Diff between revs 5 and 7

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 5 Rev 7
Line 37... Line 37...
import mpmc10_pkg::*;
import mpmc10_pkg::*;
 
 
module mpmc10_set_write_mask_wb(clk, state, we, sel, adr, mask);
module mpmc10_set_write_mask_wb(clk, state, we, sel, adr, mask);
parameter WID=16;
parameter WID=16;
input clk;
input clk;
input [3:0] state;
input mpmc10_state_t state;
input we;
input we;
input [WID-1:0] sel;
input [WID-1:0] sel;
input [31:0] adr;
input [31:0] adr;
output reg [WID-1:0] mask;
output reg [WID-1:0] mask;
 
 
Line 53... Line 53...
input wei;
input wei;
input [WID-1:0] seli;
input [WID-1:0] seli;
input [4:0] adri;
input [4:0] adri;
output [15:0] masko;
output [15:0] masko;
begin
begin
if (state==IDLE)
if (state==PRESET1)
        if (wei)
        if (wei)
                masko <= ~seli;
                masko <= ~seli;
        else
        else
                masko <= 16'h0000;      // read all bytes
                masko <= 16'h0000;      // read all bytes
end
end

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.