OpenCores
URL https://opencores.org/ocsvn/mpmc8/mpmc8/trunk

Subversion Repositories mpmc8

[/] [mpmc8/] [trunk/] [rtl/] [mpmc10/] [mpmc10_sync256_wb.sv] - Diff between revs 5 and 11

Show entire file | Details | Blame | View Log

Rev 5 Rev 11
Line 37... Line 37...
import wishbone_pkg::*;
import wishbone_pkg::*;
import mpmc10_pkg::*;
import mpmc10_pkg::*;
 
 
module mpmc10_sync256_wb(clk, i, o);
module mpmc10_sync256_wb(clk, i, o);
input clk;
input clk;
input wb_write_request256_t i;
input wb_cmd_request256_t i;
output wb_write_request256_t o;
output wb_cmd_request256_t o;
 
 
always_ff @(posedge clk)
always_ff @(posedge clk)
begin
begin
        o <= i;
        o <= i;
end
end

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.