OpenCores
URL https://opencores.org/ocsvn/nand_controller/nand_controller/trunk

Subversion Repositories nand_controller

[/] [nand_controller/] [trunk/] [VHDL/] [onfi_package.vhd] - Diff between revs 12 and 16

Show entire file | Details | Blame | View Log

Rev 12 Rev 16
Line 71... Line 71...
                MI_GET_ID_BYTE,                                 -- Gets chip_id(page_idx) byte.
                MI_GET_ID_BYTE,                                 -- Gets chip_id(page_idx) byte.
                MI_GET_PARAM_PAGE_BYTE,                 -- Gets page_param(page_idx) byte.
                MI_GET_PARAM_PAGE_BYTE,                 -- Gets page_param(page_idx) byte.
                MI_GET_DATA_PAGE_BYTE,                  -- Gets page_data(page_idx) byte.
                MI_GET_DATA_PAGE_BYTE,                  -- Gets page_data(page_idx) byte.
                MI_SET_DATA_PAGE_BYTE,                  -- Sets value at page_data(page_idx).
                MI_SET_DATA_PAGE_BYTE,                  -- Sets value at page_data(page_idx).
                MI_GET_CURRENT_ADDRESS_BYTE,    -- Gets current_address(page_idx) byte.
                MI_GET_CURRENT_ADDRESS_BYTE,    -- Gets current_address(page_idx) byte.
                MI_SET_CURRENT_ADDRESS_BYTE     -- Sets value at current_address(page_idx).
                MI_SET_CURRENT_ADDRESS_BYTE,    -- Sets value at current_address(page_idx).
 
                -- Command processor bypass commands
 
                MI_BYPASS_ADDRESS,                              -- Send address byte directly to NAND chip
 
                MI_BYPASS_COMMAND,                              -- Send command byte directly to NAND chip
 
                MI_BYPASS_DATA_WR,                              -- Send data byte directly to NAND chip
 
                MI_BYPASS_DATA_RD                                       -- Read data byte directly from NAND chip
        );
        );
 
 
        type master_substate_t is
        type master_substate_t is
        (
        (
                MS_BEGIN,
                MS_BEGIN,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.