OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_application_image.vhd] - Diff between revs 22 and 23

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 22 Rev 23
Line 4... Line 4...
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
 
 
package neorv32_application_image is
package neorv32_application_image is
 
 
  type application_init_image_t is array (0 to 832) of std_ulogic_vector(31 downto 0);
  type application_init_image_t is array (0 to 836) of std_ulogic_vector(31 downto 0);
  constant application_init_image : application_init_image_t := (
  constant application_init_image : application_init_image_t := (
    00000000 => x"00000093",
    00000000 => x"00000093",
    00000001 => x"00000113",
    00000001 => x"00000113",
    00000002 => x"00000193",
    00000002 => x"00000193",
    00000003 => x"00000213",
    00000003 => x"00000213",
    00000004 => x"00000293",
    00000004 => x"00000293",
    00000005 => x"00000313",
    00000005 => x"00000313",
    00000006 => x"00000393",
    00000006 => x"00000393",
    00000007 => x"00000413",
    00000007 => x"00000413",
    00000008 => x"00000493",
    00000008 => x"00000493",
    00000009 => x"00000693",
    00000009 => x"00000713",
    00000010 => x"00000713",
    00000010 => x"00000793",
    00000011 => x"00000793",
    00000011 => x"00002537",
    00000012 => x"ff402583",
    00000012 => x"80050513",
    00000013 => x"ffc02603",
    00000013 => x"30051073",
    00000014 => x"00c58133",
    00000014 => x"30401073",
    00000015 => x"ffc10113",
    00000015 => x"80002117",
    00000016 => x"00010413",
    00000016 => x"fc010113",
    00000017 => x"80000197",
    00000017 => x"ffc17113",
    00000018 => x"7bc18193",
    00000018 => x"00010413",
    00000019 => x"00000597",
    00000019 => x"80000197",
    00000020 => x"08058593",
    00000020 => x"7b418193",
    00000021 => x"30559073",
    00000021 => x"00000597",
    00000022 => x"f8000593",
    00000022 => x"08058593",
    00000023 => x"0005a023",
    00000023 => x"30559073",
    00000024 => x"00458593",
    00000024 => x"f8000593",
    00000025 => x"feb01ce3",
    00000025 => x"0005a023",
    00000026 => x"80000597",
    00000026 => x"00458593",
    00000027 => x"f9858593",
    00000027 => x"feb01ce3",
    00000028 => x"84018613",
    00000028 => x"80000597",
    00000029 => x"00c5d863",
    00000029 => x"f9058593",
    00000030 => x"00058023",
    00000030 => x"84018613",
    00000031 => x"00158593",
    00000031 => x"00c5d863",
    00000032 => x"ff5ff06f",
    00000032 => x"00058023",
    00000033 => x"00001597",
    00000033 => x"00158593",
    00000034 => x"c7858593",
    00000034 => x"ff5ff06f",
    00000035 => x"80000617",
    00000035 => x"00001597",
    00000036 => x"f7460613",
    00000036 => x"c8458593",
    00000037 => x"80000697",
    00000037 => x"80000617",
    00000038 => x"f6c68693",
    00000038 => x"f6c60613",
    00000039 => x"00d65c63",
    00000039 => x"80000697",
    00000040 => x"00058703",
    00000040 => x"f6468693",
    00000041 => x"00e60023",
    00000041 => x"00d65c63",
    00000042 => x"00158593",
    00000042 => x"00058703",
    00000043 => x"00160613",
    00000043 => x"00e60023",
    00000044 => x"fedff06f",
    00000044 => x"00158593",
    00000045 => x"00000513",
    00000045 => x"00160613",
    00000046 => x"00000593",
    00000046 => x"fedff06f",
    00000047 => x"05c000ef",
    00000047 => x"00000513",
    00000048 => x"30047073",
    00000048 => x"00000593",
    00000049 => x"10500073",
    00000049 => x"05c000ef",
    00000050 => x"0000006f",
    00000050 => x"30047073",
    00000051 => x"ff810113",
    00000051 => x"10500073",
    00000052 => x"00812023",
    00000052 => x"0000006f",
    00000053 => x"00912223",
    00000053 => x"ff810113",
    00000054 => x"34202473",
    00000054 => x"00812023",
    00000055 => x"02044663",
    00000055 => x"00912223",
    00000056 => x"34102473",
    00000056 => x"34202473",
    00000057 => x"00041483",
    00000057 => x"02044663",
    00000058 => x"0034f493",
    00000058 => x"34102473",
    00000059 => x"00240413",
    00000059 => x"00041483",
    00000060 => x"34141073",
    00000060 => x"0034f493",
    00000061 => x"00300413",
    00000061 => x"00240413",
    00000062 => x"00941863",
    00000062 => x"34141073",
    00000063 => x"34102473",
    00000063 => x"00300413",
    00000064 => x"00240413",
    00000064 => x"00941863",
    00000065 => x"34141073",
    00000065 => x"34102473",
    00000066 => x"00012483",
    00000066 => x"00240413",
    00000067 => x"00412403",
    00000067 => x"34141073",
    00000068 => x"00810113",
    00000068 => x"00012483",
    00000069 => x"30200073",
    00000069 => x"00412403",
    00000070 => x"00005537",
    00000070 => x"00810113",
    00000071 => x"ff010113",
    00000071 => x"30200073",
    00000072 => x"00000613",
    00000072 => x"00005537",
    00000073 => x"00000593",
    00000073 => x"ff010113",
    00000074 => x"b0050513",
    00000074 => x"00000613",
    00000075 => x"00112623",
    00000075 => x"00000593",
    00000076 => x"00812423",
    00000076 => x"b0050513",
    00000077 => x"508000ef",
    00000077 => x"00112623",
    00000078 => x"7ec000ef",
    00000078 => x"00812423",
    00000079 => x"02050c63",
    00000079 => x"500000ef",
    00000080 => x"3ac000ef",
    00000080 => x"7e4000ef",
    00000081 => x"00001537",
    00000081 => x"02050c63",
    00000082 => x"a3850513",
    00000082 => x"3a4000ef",
    00000083 => x"58c000ef",
    00000083 => x"00001537",
    00000084 => x"00000513",
    00000084 => x"a3850513",
    00000085 => x"7e0000ef",
    00000085 => x"584000ef",
    00000086 => x"00000413",
    00000086 => x"00000513",
    00000087 => x"0ff47513",
    00000087 => x"7d8000ef",
    00000088 => x"7d4000ef",
    00000088 => x"00000413",
    00000089 => x"0c800513",
    00000089 => x"0ff47513",
    00000090 => x"77c000ef",
    00000090 => x"7cc000ef",
    00000091 => x"00140413",
    00000091 => x"0c800513",
    00000092 => x"fedff06f",
    00000092 => x"774000ef",
    00000093 => x"00001537",
    00000093 => x"00140413",
    00000094 => x"a1450513",
    00000094 => x"fedff06f",
    00000095 => x"55c000ef",
    00000095 => x"00001537",
    00000096 => x"00c12083",
    00000096 => x"a1450513",
    00000097 => x"00812403",
    00000097 => x"554000ef",
    00000098 => x"00000513",
    00000098 => x"00c12083",
    00000099 => x"01010113",
    00000099 => x"00812403",
    00000100 => x"00008067",
    00000100 => x"00000513",
    00000101 => x"00000000",
    00000101 => x"01010113",
    00000102 => x"00000000",
    00000102 => x"00008067",
    00000103 => x"00000000",
    00000103 => x"00000000",
    00000104 => x"fc010113",
    00000104 => x"fc010113",
    00000105 => x"02112e23",
    00000105 => x"02112e23",
    00000106 => x"02512c23",
    00000106 => x"02512c23",
    00000107 => x"02612a23",
    00000107 => x"02612a23",
Line 230... Line 230...
    00000219 => x"00f407b3",
    00000219 => x"00f407b3",
    00000220 => x"01000713",
    00000220 => x"01000713",
    00000221 => x"00f77c63",
    00000221 => x"00f77c63",
    00000222 => x"00001537",
    00000222 => x"00001537",
    00000223 => x"00040593",
    00000223 => x"00040593",
    00000224 => x"c3c50513",
    00000224 => x"c5050513",
    00000225 => x"3ac000ef",
    00000225 => x"3ac000ef",
    00000226 => x"0400006f",
    00000226 => x"0400006f",
    00000227 => x"00001737",
    00000227 => x"00001737",
    00000228 => x"00279793",
    00000228 => x"00279793",
    00000229 => x"c6870713",
    00000229 => x"c7c70713",
    00000230 => x"00e787b3",
    00000230 => x"00e787b3",
    00000231 => x"0007a783",
    00000231 => x"0007a783",
    00000232 => x"00078067",
    00000232 => x"00078067",
    00000233 => x"00001737",
    00000233 => x"00001737",
    00000234 => x"00241793",
    00000234 => x"00241793",
    00000235 => x"cac70713",
    00000235 => x"cc070713",
    00000236 => x"00e787b3",
    00000236 => x"00e787b3",
    00000237 => x"0007a783",
    00000237 => x"0007a783",
    00000238 => x"00078067",
    00000238 => x"00078067",
    00000239 => x"00001537",
    00000239 => x"00001537",
    00000240 => x"ad050513",
    00000240 => x"ad050513",
Line 259... Line 259...
    00000248 => x"ffc58593",
    00000248 => x"ffc58593",
    00000249 => x"34302673",
    00000249 => x"34302673",
    00000250 => x"00812403",
    00000250 => x"00812403",
    00000251 => x"00c12083",
    00000251 => x"00c12083",
    00000252 => x"00001537",
    00000252 => x"00001537",
    00000253 => x"c4c50513",
    00000253 => x"c6050513",
    00000254 => x"01010113",
    00000254 => x"01010113",
    00000255 => x"3340006f",
    00000255 => x"3340006f",
    00000256 => x"00001537",
    00000256 => x"00001537",
    00000257 => x"af050513",
    00000257 => x"af050513",
    00000258 => x"fbdff06f",
    00000258 => x"fbdff06f",
Line 299... Line 299...
    00000288 => x"f45ff06f",
    00000288 => x"f45ff06f",
    00000289 => x"00001537",
    00000289 => x"00001537",
    00000290 => x"bec50513",
    00000290 => x"bec50513",
    00000291 => x"f39ff06f",
    00000291 => x"f39ff06f",
    00000292 => x"00001537",
    00000292 => x"00001537",
    00000293 => x"c0050513",
    00000293 => x"c0450513",
    00000294 => x"f2dff06f",
    00000294 => x"f2dff06f",
    00000295 => x"00001537",
    00000295 => x"00001537",
    00000296 => x"c1450513",
    00000296 => x"c1c50513",
    00000297 => x"f21ff06f",
    00000297 => x"f21ff06f",
    00000298 => x"00001537",
    00000298 => x"00001537",
    00000299 => x"c2850513",
    00000299 => x"c3450513",
    00000300 => x"f15ff06f",
    00000300 => x"f15ff06f",
    00000301 => x"ffe58593",
    00000301 => x"ffe58593",
    00000302 => x"f2dff06f",
    00000302 => x"f2dff06f",
    00000303 => x"00f00793",
    00000303 => x"00f00793",
    00000304 => x"02a7e263",
    00000304 => x"02a7e263",
Line 353... Line 353...
    00000342 => x"00050493",
    00000342 => x"00050493",
    00000343 => x"00058413",
    00000343 => x"00058413",
    00000344 => x"00058523",
    00000344 => x"00058523",
    00000345 => x"00000993",
    00000345 => x"00000993",
    00000346 => x"00410913",
    00000346 => x"00410913",
    00000347 => x"cdca0a13",
    00000347 => x"cf0a0a13",
    00000348 => x"00a00593",
    00000348 => x"00a00593",
    00000349 => x"00048513",
    00000349 => x"00048513",
    00000350 => x"438000ef",
    00000350 => x"438000ef",
    00000351 => x"00aa0533",
    00000351 => x"00aa0533",
    00000352 => x"00054783",
    00000352 => x"00054783",
Line 493... Line 493...
    00000482 => x"02500a13",
    00000482 => x"02500a13",
    00000483 => x"00a00a93",
    00000483 => x"00a00a93",
    00000484 => x"07300913",
    00000484 => x"07300913",
    00000485 => x"07500b13",
    00000485 => x"07500b13",
    00000486 => x"07800b93",
    00000486 => x"07800b93",
    00000487 => x"ce848493",
    00000487 => x"cfc48493",
    00000488 => x"00044c03",
    00000488 => x"00044c03",
    00000489 => x"020c0463",
    00000489 => x"020c0463",
    00000490 => x"134c1263",
    00000490 => x"134c1263",
    00000491 => x"00144783",
    00000491 => x"00144783",
    00000492 => x"00240993",
    00000492 => x"00240993",
Line 773... Line 773...
    00000762 => x"00007470",
    00000762 => x"00007470",
    00000763 => x"74736146",
    00000763 => x"74736146",
    00000764 => x"746e6920",
    00000764 => x"746e6920",
    00000765 => x"75727265",
    00000765 => x"75727265",
    00000766 => x"30207470",
    00000766 => x"30207470",
    00000767 => x"00000000",
    00000767 => x"44572820",
    00000768 => x"74736146",
    00000768 => x"00002954",
    00000769 => x"746e6920",
    00000769 => x"74736146",
    00000770 => x"75727265",
    00000770 => x"746e6920",
    00000771 => x"31207470",
    00000771 => x"75727265",
    00000772 => x"00000000",
    00000772 => x"31207470",
    00000773 => x"74736146",
    00000773 => x"50472820",
    00000774 => x"746e6920",
    00000774 => x"00294f49",
    00000775 => x"75727265",
    00000775 => x"74736146",
    00000776 => x"32207470",
    00000776 => x"746e6920",
    00000777 => x"00000000",
    00000777 => x"75727265",
    00000778 => x"74736146",
    00000778 => x"32207470",
    00000779 => x"746e6920",
    00000779 => x"41552820",
    00000780 => x"75727265",
    00000780 => x"00295452",
    00000781 => x"33207470",
    00000781 => x"74736146",
    00000782 => x"00000000",
    00000782 => x"746e6920",
    00000783 => x"6e6b6e55",
    00000783 => x"75727265",
    00000784 => x"206e776f",
    00000784 => x"33207470",
    00000785 => x"25783028",
    00000785 => x"50532820",
    00000786 => x"00002978",
    00000786 => x"57542f49",
    00000787 => x"30204020",
    00000787 => x"00002949",
    00000788 => x"2c782578",
    00000788 => x"6e6b6e55",
    00000789 => x"56544d20",
    00000789 => x"206e776f",
    00000790 => x"303d4c41",
    00000790 => x"25783028",
    00000791 => x"20782578",
    00000791 => x"00002978",
    00000792 => x"54522f3c",
    00000792 => x"30204020",
    00000793 => x"00003e45",
    00000793 => x"2c782578",
    00000794 => x"00000460",
    00000794 => x"56544d20",
    00000795 => x"00000378",
    00000795 => x"303d4c41",
    00000796 => x"00000378",
    00000796 => x"20782578",
    00000797 => x"00000378",
    00000797 => x"54522f3c",
    00000798 => x"0000046c",
    00000798 => x"00003e45",
    00000799 => x"00000378",
    00000799 => x"00000460",
    00000800 => x"00000378",
    00000800 => x"00000378",
    00000801 => x"00000378",
    00000801 => x"00000378",
    00000802 => x"00000478",
    00000802 => x"00000378",
    00000803 => x"00000378",
    00000803 => x"0000046c",
    00000804 => x"00000378",
    00000804 => x"00000378",
    00000805 => x"00000378",
    00000805 => x"00000378",
    00000806 => x"00000378",
    00000806 => x"00000378",
    00000807 => x"00000484",
    00000807 => x"00000478",
    00000808 => x"00000490",
    00000808 => x"00000378",
    00000809 => x"0000049c",
    00000809 => x"00000378",
    00000810 => x"000004a8",
    00000810 => x"00000378",
    00000811 => x"000003bc",
    00000811 => x"00000378",
    00000812 => x"00000400",
    00000812 => x"00000484",
    00000813 => x"0000040c",
    00000813 => x"00000490",
    00000814 => x"00000418",
    00000814 => x"0000049c",
    00000815 => x"00000424",
    00000815 => x"000004a8",
    00000816 => x"00000430",
    00000816 => x"000003bc",
    00000817 => x"0000043c",
    00000817 => x"00000400",
    00000818 => x"00000448",
    00000818 => x"0000040c",
    00000819 => x"00000378",
    00000819 => x"00000418",
    00000820 => x"00000378",
    00000820 => x"00000424",
    00000821 => x"00000378",
    00000821 => x"00000430",
    00000822 => x"00000454",
    00000822 => x"0000043c",
    00000823 => x"33323130",
    00000823 => x"00000448",
    00000824 => x"37363534",
    00000824 => x"00000378",
    00000825 => x"00003938",
    00000825 => x"00000378",
    00000826 => x"33323130",
    00000826 => x"00000378",
    00000827 => x"37363534",
    00000827 => x"00000454",
    00000828 => x"62613938",
    00000828 => x"33323130",
    00000829 => x"66656463",
    00000829 => x"37363534",
    00000830 => x"00000000",
    00000830 => x"00003938",
 
    00000831 => x"33323130",
 
    00000832 => x"37363534",
 
    00000833 => x"62613938",
 
    00000834 => x"66656463",
 
    00000835 => x"00000000",
    others   => x"00000000"
    others   => x"00000000"
  );
  );
 
 
end neorv32_application_image;
end neorv32_application_image;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.