OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_application_image.vhd] - Diff between revs 48 and 49

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 48 Rev 49
Line 109... Line 109...
    00000098 => x"ff010113",
    00000098 => x"ff010113",
    00000099 => x"00000593",
    00000099 => x"00000593",
    00000100 => x"b0050513",
    00000100 => x"b0050513",
    00000101 => x"00112623",
    00000101 => x"00112623",
    00000102 => x"668000ef",
    00000102 => x"668000ef",
    00000103 => x"105000ef",
    00000103 => x"1a1000ef",
    00000104 => x"02050063",
    00000104 => x"02050063",
    00000105 => x"4ac000ef",
    00000105 => x"4ac000ef",
    00000106 => x"00000513",
    00000106 => x"00000513",
    00000107 => x"500000ef",
    00000107 => x"500000ef",
    00000108 => x"00001537",
    00000108 => x"00001537",
Line 130... Line 130...
    00000119 => x"ff010113",
    00000119 => x"ff010113",
    00000120 => x"00000513",
    00000120 => x"00000513",
    00000121 => x"00812423",
    00000121 => x"00812423",
    00000122 => x"00112623",
    00000122 => x"00112623",
    00000123 => x"00000413",
    00000123 => x"00000413",
    00000124 => x"0c1000ef",
    00000124 => x"15d000ef",
    00000125 => x"0ff47513",
    00000125 => x"0ff47513",
    00000126 => x"0b9000ef",
    00000126 => x"155000ef",
    00000127 => x"0c800513",
    00000127 => x"0c800513",
    00000128 => x"0e5000ef",
    00000128 => x"0cd000ef",
    00000129 => x"00140413",
    00000129 => x"00140413",
    00000130 => x"fedff06f",
    00000130 => x"fedff06f",
    00000131 => x"00000000",
    00000131 => x"00000000",
    00000132 => x"fc010113",
    00000132 => x"fc010113",
    00000133 => x"02112e23",
    00000133 => x"02112e23",
Line 686... Line 686...
    00000675 => x"00d00513",
    00000675 => x"00d00513",
    00000676 => x"df5ff0ef",
    00000676 => x"df5ff0ef",
    00000677 => x"00140993",
    00000677 => x"00140993",
    00000678 => x"000c0513",
    00000678 => x"000c0513",
    00000679 => x"f99ff06f",
    00000679 => x"f99ff06f",
    00000680 => x"fe802503",
    00000680 => x"ff010113",
    00000681 => x"01055513",
    00000681 => x"c80026f3",
    00000682 => x"00157513",
    00000682 => x"c0002773",
    00000683 => x"00008067",
    00000683 => x"c80027f3",
    00000684 => x"f8a02223",
    00000684 => x"fed79ae3",
    00000685 => x"00008067",
    00000685 => x"00e12023",
    00000686 => x"ff010113",
    00000686 => x"00f12223",
    00000687 => x"c80026f3",
    00000687 => x"00012503",
    00000688 => x"c0002773",
    00000688 => x"00412583",
    00000689 => x"c80027f3",
    00000689 => x"01010113",
    00000690 => x"fed79ae3",
    00000690 => x"00008067",
    00000691 => x"00e12023",
    00000691 => x"fe010113",
    00000692 => x"00f12223",
    00000692 => x"00112e23",
    00000693 => x"00012503",
    00000693 => x"00812c23",
    00000694 => x"00412583",
    00000694 => x"00912a23",
    00000695 => x"01010113",
    00000695 => x"00a12623",
    00000696 => x"00008067",
    00000696 => x"fc1ff0ef",
    00000697 => x"fe010113",
    00000697 => x"00050493",
    00000698 => x"00112e23",
    00000698 => x"fe002503",
    00000699 => x"00812c23",
    00000699 => x"00058413",
    00000700 => x"00912a23",
    00000700 => x"3e800593",
    00000701 => x"00a12623",
    00000701 => x"11c000ef",
    00000702 => x"fc1ff0ef",
    00000702 => x"00c12603",
    00000703 => x"00050493",
    00000703 => x"00000693",
    00000704 => x"fe002503",
    00000704 => x"00000593",
    00000705 => x"00058413",
    00000705 => x"074000ef",
    00000706 => x"3e800593",
    00000706 => x"009504b3",
    00000707 => x"104000ef",
    00000707 => x"00a4b533",
    00000708 => x"00c12603",
    00000708 => x"00858433",
    00000709 => x"00000693",
    00000709 => x"00850433",
    00000710 => x"00000593",
    00000710 => x"f89ff0ef",
    00000711 => x"05c000ef",
    00000711 => x"fe85eee3",
    00000712 => x"009504b3",
    00000712 => x"00b41463",
    00000713 => x"00a4b533",
    00000713 => x"fe956ae3",
    00000714 => x"00858433",
    00000714 => x"01c12083",
    00000715 => x"00850433",
    00000715 => x"01812403",
    00000716 => x"f89ff0ef",
    00000716 => x"01412483",
    00000717 => x"fe85eee3",
    00000717 => x"02010113",
    00000718 => x"00b41463",
    00000718 => x"00008067",
    00000719 => x"fe956ae3",
    00000719 => x"fe802503",
    00000720 => x"01c12083",
    00000720 => x"01055513",
    00000721 => x"01812403",
    00000721 => x"00157513",
    00000722 => x"01412483",
    00000722 => x"00008067",
    00000723 => x"02010113",
    00000723 => x"f8a02223",
    00000724 => x"00008067",
    00000724 => x"00008067",
    00000725 => x"00050613",
    00000725 => x"00050613",
    00000726 => x"00000513",
    00000726 => x"00000513",
    00000727 => x"0015f693",
    00000727 => x"0015f693",
    00000728 => x"00068463",
    00000728 => x"00068463",

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.