OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_application_image.vhd] - Diff between revs 64 and 65

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 64 Rev 65
Line 111... Line 111...
    00000097 => x"ff010113",
    00000097 => x"ff010113",
    00000098 => x"00000613",
    00000098 => x"00000613",
    00000099 => x"00000593",
    00000099 => x"00000593",
    00000100 => x"b0050513",
    00000100 => x"b0050513",
    00000101 => x"00112623",
    00000101 => x"00112623",
    00000102 => x"118000ef",
    00000102 => x"088000ef",
    00000103 => x"718000ef",
    00000103 => x"718000ef",
    00000104 => x"00050c63",
    00000104 => x"00050c63",
    00000105 => x"6c8000ef",
    00000105 => x"6c8000ef",
    00000106 => x"00001537",
    00000106 => x"00001537",
    00000107 => x"a6050513",
    00000107 => x"a6050513",
    00000108 => x"170000ef",
    00000108 => x"118000ef",
    00000109 => x"020000ef",
    00000109 => x"020000ef",
    00000110 => x"00001537",
    00000110 => x"00001537",
    00000111 => x"a3c50513",
    00000111 => x"a3c50513",
    00000112 => x"160000ef",
    00000112 => x"108000ef",
    00000113 => x"00c12083",
    00000113 => x"00c12083",
    00000114 => x"00100513",
    00000114 => x"00100513",
    00000115 => x"01010113",
    00000115 => x"01010113",
    00000116 => x"00008067",
    00000116 => x"00008067",
    00000117 => x"ff010113",
    00000117 => x"ff010113",
Line 138... Line 138...
    00000124 => x"00150413",
    00000124 => x"00150413",
    00000125 => x"00000593",
    00000125 => x"00000593",
    00000126 => x"0ff57513",
    00000126 => x"0ff57513",
    00000127 => x"6c8000ef",
    00000127 => x"6c8000ef",
    00000128 => x"0c800513",
    00000128 => x"0c800513",
    00000129 => x"14c000ef",
    00000129 => x"148000ef",
    00000130 => x"00040513",
    00000130 => x"00040513",
    00000131 => x"fe5ff06f",
    00000131 => x"fe5ff06f",
    00000132 => x"fe802503",
    00000132 => x"fe802503",
    00000133 => x"01255513",
    00000133 => x"01255513",
    00000134 => x"00157513",
    00000134 => x"00157513",
Line 181... Line 181...
    00000167 => x"00170713",
    00000167 => x"00170713",
    00000168 => x"0ff77713",
    00000168 => x"0ff77713",
    00000169 => x"f9dff06f",
    00000169 => x"f9dff06f",
    00000170 => x"0017d793",
    00000170 => x"0017d793",
    00000171 => x"ff1ff06f",
    00000171 => x"ff1ff06f",
    00000172 => x"f71ff06f",
    00000172 => x"00040737",
    00000173 => x"fa002783",
    00000173 => x"fa002783",
    00000174 => x"fe07cee3",
    00000174 => x"00e7f7b3",
    00000175 => x"faa02223",
    00000175 => x"fe079ce3",
    00000176 => x"00008067",
    00000176 => x"faa02223",
    00000177 => x"ff1ff06f",
    00000177 => x"00008067",
    00000178 => x"ff010113",
    00000178 => x"ff010113",
    00000179 => x"00812423",
    00000179 => x"00812423",
    00000180 => x"01212023",
    00000180 => x"01212023",
    00000181 => x"00112623",
    00000181 => x"00112623",
    00000182 => x"00912223",
    00000182 => x"00912223",
Line 205... Line 205...
    00000191 => x"00012903",
    00000191 => x"00012903",
    00000192 => x"01010113",
    00000192 => x"01010113",
    00000193 => x"00008067",
    00000193 => x"00008067",
    00000194 => x"01249663",
    00000194 => x"01249663",
    00000195 => x"00d00513",
    00000195 => x"00d00513",
    00000196 => x"fa5ff0ef",
    00000196 => x"fa1ff0ef",
    00000197 => x"00048513",
    00000197 => x"00048513",
    00000198 => x"f9dff0ef",
    00000198 => x"f99ff0ef",
    00000199 => x"fc9ff06f",
    00000199 => x"fc9ff06f",
    00000200 => x"fa9ff06f",
    00000200 => x"ff010113",
    00000201 => x"ff010113",
    00000201 => x"c81026f3",
    00000202 => x"c81026f3",
    00000202 => x"c0102773",
    00000203 => x"c0102773",
    00000203 => x"c81027f3",
    00000204 => x"c81027f3",
    00000204 => x"fed79ae3",
    00000205 => x"fed79ae3",
    00000205 => x"00e12023",
    00000206 => x"00e12023",
    00000206 => x"00f12223",
    00000207 => x"00f12223",
    00000207 => x"00012503",
    00000208 => x"00012503",
    00000208 => x"00412583",
    00000209 => x"00412583",
    00000209 => x"01010113",
    00000210 => x"01010113",
    00000210 => x"00008067",
    00000211 => x"00008067",
    00000211 => x"fd010113",
    00000212 => x"fd010113",
    00000212 => x"00a12623",
    00000213 => x"00a12623",
    00000213 => x"fe002503",
    00000214 => x"fe002503",
    00000214 => x"3e800593",
    00000215 => x"3e800593",
    00000215 => x"02112623",
    00000216 => x"02112623",
    00000216 => x"02812423",
    00000217 => x"02812423",
    00000217 => x"02912223",
    00000218 => x"02912223",
    00000218 => x"03212023",
    00000219 => x"03212023",
    00000219 => x"01312e23",
    00000220 => x"01312e23",
    00000220 => x"620000ef",
    00000221 => x"61c000ef",
    00000221 => x"00c12603",
    00000222 => x"00c12603",
    00000222 => x"00000693",
    00000223 => x"00000693",
    00000223 => x"00000593",
    00000224 => x"00000593",
    00000224 => x"578000ef",
    00000225 => x"574000ef",
    00000225 => x"00050413",
    00000226 => x"00050413",
    00000226 => x"00058993",
    00000227 => x"00058993",
    00000227 => x"f95ff0ef",
    00000228 => x"f95ff0ef",
    00000228 => x"00058913",
    00000229 => x"00058913",
    00000229 => x"00050493",
    00000230 => x"00050493",
    00000230 => x"f89ff0ef",
    00000231 => x"f89ff0ef",
    00000231 => x"00b96663",
    00000232 => x"00b96663",
    00000232 => x"05259263",
    00000233 => x"05259263",
    00000233 => x"04a4f063",
    00000234 => x"04a4f063",
    00000234 => x"008484b3",
    00000235 => x"008484b3",
    00000235 => x"0084b433",
    00000236 => x"0084b433",
    00000236 => x"01390933",
    00000237 => x"01390933",
    00000237 => x"01240433",
    00000238 => x"01240433",
    00000238 => x"f69ff0ef",
    00000239 => x"f69ff0ef",
    00000239 => x"fe85eee3",
    00000240 => x"fe85eee3",
    00000240 => x"00b41463",
    00000241 => x"00b41463",
    00000241 => x"fe956ae3",
    00000242 => x"fe956ae3",
    00000242 => x"02c12083",
    00000243 => x"02c12083",
    00000243 => x"02812403",
    00000244 => x"02812403",
    00000244 => x"02412483",
    00000245 => x"02412483",
    00000245 => x"02012903",
    00000246 => x"02012903",
    00000246 => x"01c12983",
    00000247 => x"01c12983",
    00000247 => x"03010113",
    00000248 => x"03010113",
    00000248 => x"00008067",
    00000249 => x"00008067",
    00000249 => x"01c99913",
    00000250 => x"01c99913",
    00000250 => x"00445413",
    00000251 => x"00445413",
    00000251 => x"00896433",
    00000252 => x"00896433",
    00000252 => x"00040a63",
    00000253 => x"00040a63",
    00000253 => x"00040863",
    00000254 => x"00040863",
    00000254 => x"fff40413",
    00000255 => x"fff40413",
    00000255 => x"00000013",
    00000256 => x"00000013",
    00000256 => x"ff1ff06f",
    00000257 => x"ff1ff06f",
    00000257 => x"fc5ff06f",
    00000258 => x"fc5ff06f",
    00000258 => x"00000000",
    00000259 => x"00000000",
    00000259 => x"00000000",
    00000260 => x"fc010113",
    00000260 => x"fc010113",
    00000261 => x"02112e23",
    00000261 => x"02112e23",
    00000262 => x"02512c23",
    00000262 => x"02512c23",
    00000263 => x"02612a23",
    00000263 => x"02612a23",
Line 419... Line 419...
    00000405 => x"008957b3",
    00000405 => x"008957b3",
    00000406 => x"00f7f793",
    00000406 => x"00f7f793",
    00000407 => x"00f487b3",
    00000407 => x"00f487b3",
    00000408 => x"0007c503",
    00000408 => x"0007c503",
    00000409 => x"ffc40413",
    00000409 => x"ffc40413",
    00000410 => x"c4dff0ef",
    00000410 => x"c49ff0ef",
    00000411 => x"ff3414e3",
    00000411 => x"ff3414e3",
    00000412 => x"01c12083",
    00000412 => x"01c12083",
    00000413 => x"01812403",
    00000413 => x"01812403",
    00000414 => x"01412483",
    00000414 => x"01412483",
    00000415 => x"01012903",
    00000415 => x"01012903",
Line 436... Line 436...
    00000422 => x"00912223",
    00000422 => x"00912223",
    00000423 => x"b75ff0ef",
    00000423 => x"b75ff0ef",
    00000424 => x"18050463",
    00000424 => x"18050463",
    00000425 => x"00001537",
    00000425 => x"00001537",
    00000426 => x"b2450513",
    00000426 => x"b2450513",
    00000427 => x"c75ff0ef",
    00000427 => x"c1dff0ef",
    00000428 => x"34202473",
    00000428 => x"34202473",
    00000429 => x"00900713",
    00000429 => x"00900713",
    00000430 => x"00f47793",
    00000430 => x"00f47793",
    00000431 => x"03078493",
    00000431 => x"03078493",
    00000432 => x"00f77463",
    00000432 => x"00f77463",
Line 461... Line 461...
    00000447 => x"10e40463",
    00000447 => x"10e40463",
    00000448 => x"00778793",
    00000448 => x"00778793",
    00000449 => x"10f40663",
    00000449 => x"10f40663",
    00000450 => x"00001537",
    00000450 => x"00001537",
    00000451 => x"c8450513",
    00000451 => x"c8450513",
    00000452 => x"c11ff0ef",
    00000452 => x"bb9ff0ef",
    00000453 => x"00040513",
    00000453 => x"00040513",
    00000454 => x"f05ff0ef",
    00000454 => x"f05ff0ef",
    00000455 => x"0380006f",
    00000455 => x"0380006f",
    00000456 => x"ff07c793",
    00000456 => x"ff07c793",
    00000457 => x"00f407b3",
    00000457 => x"00f407b3",
    00000458 => x"00f00713",
    00000458 => x"00f00713",
    00000459 => x"fcf76ee3",
    00000459 => x"fcf76ee3",
    00000460 => x"00001537",
    00000460 => x"00001537",
    00000461 => x"c7450513",
    00000461 => x"c7450513",
    00000462 => x"be9ff0ef",
    00000462 => x"b91ff0ef",
    00000463 => x"00048513",
    00000463 => x"00048513",
    00000464 => x"b85ff0ef",
    00000464 => x"b71ff0ef",
    00000465 => x"0100006f",
    00000465 => x"0100006f",
    00000466 => x"00001537",
    00000466 => x"00001537",
    00000467 => x"b2c50513",
    00000467 => x"b2c50513",
    00000468 => x"bd1ff0ef",
    00000468 => x"b79ff0ef",
    00000469 => x"00001537",
    00000469 => x"00001537",
    00000470 => x"c9c50513",
    00000470 => x"c9c50513",
    00000471 => x"bc5ff0ef",
    00000471 => x"b6dff0ef",
    00000472 => x"34002573",
    00000472 => x"34002573",
    00000473 => x"eb9ff0ef",
    00000473 => x"eb9ff0ef",
    00000474 => x"00001537",
    00000474 => x"00001537",
    00000475 => x"ca450513",
    00000475 => x"ca450513",
    00000476 => x"bb1ff0ef",
    00000476 => x"b59ff0ef",
    00000477 => x"34302573",
    00000477 => x"34302573",
    00000478 => x"ea5ff0ef",
    00000478 => x"ea5ff0ef",
    00000479 => x"00812403",
    00000479 => x"00812403",
    00000480 => x"00c12083",
    00000480 => x"00c12083",
    00000481 => x"00412483",
    00000481 => x"00412483",
    00000482 => x"00001537",
    00000482 => x"00001537",
    00000483 => x"cb050513",
    00000483 => x"cb050513",
    00000484 => x"01010113",
    00000484 => x"01010113",
    00000485 => x"b8dff06f",
    00000485 => x"b35ff06f",
    00000486 => x"00001537",
    00000486 => x"00001537",
    00000487 => x"b4c50513",
    00000487 => x"b4c50513",
    00000488 => x"fb1ff06f",
    00000488 => x"fb1ff06f",
    00000489 => x"00001537",
    00000489 => x"00001537",
    00000490 => x"b6850513",
    00000490 => x"b6850513",

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.