OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_bootloader_image.vhd] - Diff between revs 34 and 35

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 34 Rev 35
Line 124... Line 124...
    00000113 => x"ffff1537",
    00000113 => x"ffff1537",
    00000114 => x"800007b7",
    00000114 => x"800007b7",
    00000115 => x"f1450513",
    00000115 => x"f1450513",
    00000116 => x"0007a023",
    00000116 => x"0007a023",
    00000117 => x"2fd000ef",
    00000117 => x"2fd000ef",
    00000118 => x"14d000ef",
    00000118 => x"159000ef",
    00000119 => x"ffff1537",
    00000119 => x"ffff1537",
    00000120 => x"f4c50513",
    00000120 => x"f4c50513",
    00000121 => x"2ed000ef",
    00000121 => x"2ed000ef",
    00000122 => x"fe002503",
    00000122 => x"fe002503",
    00000123 => x"238000ef",
    00000123 => x"238000ef",
Line 187... Line 187...
    00000176 => x"115000ef",
    00000176 => x"115000ef",
    00000177 => x"fc85eae3",
    00000177 => x"fc85eae3",
    00000178 => x"00b41463",
    00000178 => x"00b41463",
    00000179 => x"fc9566e3",
    00000179 => x"fc9566e3",
    00000180 => x"00100513",
    00000180 => x"00100513",
    00000181 => x"5a8000ef",
    00000181 => x"5b4000ef",
    00000182 => x"0b4000ef",
    00000182 => x"0b4000ef",
    00000183 => x"ffff1937",
    00000183 => x"ffff1937",
    00000184 => x"ffff19b7",
    00000184 => x"ffff19b7",
    00000185 => x"02300a13",
    00000185 => x"02300a13",
    00000186 => x"07200a93",
    00000186 => x"07200a93",
Line 216... Line 216...
    00000205 => x"fc9ff06f",
    00000205 => x"fc9ff06f",
    00000206 => x"00000513",
    00000206 => x"00000513",
    00000207 => x"03740063",
    00000207 => x"03740063",
    00000208 => x"07300793",
    00000208 => x"07300793",
    00000209 => x"00f41663",
    00000209 => x"00f41663",
    00000210 => x"660000ef",
    00000210 => x"66c000ef",
    00000211 => x"fb1ff06f",
    00000211 => x"fb1ff06f",
    00000212 => x"06c00793",
    00000212 => x"06c00793",
    00000213 => x"00f41863",
    00000213 => x"00f41863",
    00000214 => x"00100513",
    00000214 => x"00100513",
    00000215 => x"3f0000ef",
    00000215 => x"3f0000ef",
Line 473... Line 473...
    00000462 => x"03010113",
    00000462 => x"03010113",
    00000463 => x"00008067",
    00000463 => x"00008067",
    00000464 => x"00898533",
    00000464 => x"00898533",
    00000465 => x"f41ff0ef",
    00000465 => x"f41ff0ef",
    00000466 => x"fc5ff06f",
    00000466 => x"fc5ff06f",
    00000467 => x"fd010113",
    00000467 => x"fe802783",
    00000468 => x"02812423",
    00000468 => x"fd010113",
    00000469 => x"fe802403",
    00000469 => x"02812423",
    00000470 => x"02112623",
    00000470 => x"02112623",
    00000471 => x"02912223",
    00000471 => x"02912223",
    00000472 => x"03212023",
    00000472 => x"03212023",
    00000473 => x"01312e23",
    00000473 => x"01312e23",
    00000474 => x"01412c23",
    00000474 => x"01412c23",
    00000475 => x"01512a23",
    00000475 => x"01512a23",
    00000476 => x"01612823",
    00000476 => x"01612823",
    00000477 => x"01712623",
    00000477 => x"01712623",
    00000478 => x"00847413",
    00000478 => x"0087f793",
    00000479 => x"00040663",
    00000479 => x"00050413",
    00000480 => x"00400513",
    00000480 => x"00078a63",
    00000481 => x"c69ff0ef",
    00000481 => x"fe802783",
    00000482 => x"00050493",
    00000482 => x"00400513",
    00000483 => x"02051863",
    00000483 => x"0047f793",
    00000484 => x"ffff1537",
    00000484 => x"04079663",
    00000485 => x"e9c50513",
    00000485 => x"02041863",
    00000486 => x"538000ef",
    00000486 => x"ffff1537",
    00000487 => x"008005b7",
    00000487 => x"e9c50513",
    00000488 => x"00048513",
    00000488 => x"530000ef",
    00000489 => x"f31ff0ef",
    00000489 => x"008005b7",
    00000490 => x"4788d7b7",
    00000490 => x"00040513",
    00000491 => x"afe78793",
    00000491 => x"f29ff0ef",
    00000492 => x"02f50463",
    00000492 => x"4788d7b7",
    00000493 => x"00000513",
    00000493 => x"afe78793",
    00000494 => x"fcdff06f",
    00000494 => x"02f50463",
    00000495 => x"ffff1537",
    00000495 => x"00000513",
    00000496 => x"ebc50513",
    00000496 => x"01c0006f",
    00000497 => x"50c000ef",
    00000497 => x"ffff1537",
    00000498 => x"e15ff0ef",
    00000498 => x"ebc50513",
    00000499 => x"fc0518e3",
    00000499 => x"504000ef",
    00000500 => x"00300513",
    00000500 => x"e0dff0ef",
    00000501 => x"fb1ff06f",
    00000501 => x"fc0518e3",
    00000502 => x"008009b7",
    00000502 => x"00300513",
    00000503 => x"00498593",
    00000503 => x"c11ff0ef",
    00000504 => x"00048513",
    00000504 => x"008009b7",
    00000505 => x"ef1ff0ef",
    00000505 => x"00498593",
    00000506 => x"00050a13",
    00000506 => x"00040513",
    00000507 => x"00898593",
    00000507 => x"ee9ff0ef",
    00000508 => x"00048513",
    00000508 => x"00050a13",
    00000509 => x"ee1ff0ef",
    00000509 => x"00898593",
    00000510 => x"ff002b83",
    00000510 => x"00040513",
    00000511 => x"00050a93",
    00000511 => x"ed9ff0ef",
    00000512 => x"ffca7b13",
    00000512 => x"ff002b83",
    00000513 => x"00000913",
    00000513 => x"00050a93",
    00000514 => x"00c98993",
    00000514 => x"ffca7b13",
    00000515 => x"013905b3",
    00000515 => x"00000913",
    00000516 => x"052b1863",
    00000516 => x"00000493",
    00000517 => x"01540433",
    00000517 => x"00c98993",
    00000518 => x"00200513",
    00000518 => x"013905b3",
    00000519 => x"f60414e3",
    00000519 => x"052b1863",
    00000520 => x"ffff1537",
    00000520 => x"015484b3",
    00000521 => x"ec850513",
    00000521 => x"00200513",
    00000522 => x"4a8000ef",
    00000522 => x"fa049ae3",
    00000523 => x"02c12083",
    00000523 => x"ffff1537",
    00000524 => x"02812403",
    00000524 => x"ec850513",
    00000525 => x"800007b7",
    00000525 => x"49c000ef",
    00000526 => x"0147a023",
    00000526 => x"02c12083",
    00000527 => x"02412483",
    00000527 => x"02812403",
    00000528 => x"02012903",
    00000528 => x"800007b7",
    00000529 => x"01c12983",
    00000529 => x"0147a023",
    00000530 => x"01812a03",
    00000530 => x"02412483",
    00000531 => x"01412a83",
    00000531 => x"02012903",
    00000532 => x"01012b03",
    00000532 => x"01c12983",
    00000533 => x"00c12b83",
    00000533 => x"01812a03",
    00000534 => x"03010113",
    00000534 => x"01412a83",
    00000535 => x"00008067",
    00000535 => x"01012b03",
    00000536 => x"00048513",
    00000536 => x"00c12b83",
    00000537 => x"e71ff0ef",
    00000537 => x"03010113",
    00000538 => x"012b87b3",
    00000538 => x"00008067",
    00000539 => x"00a40433",
    00000539 => x"00040513",
    00000540 => x"00a7a023",
    00000540 => x"e65ff0ef",
    00000541 => x"00490913",
    00000541 => x"012b87b3",
    00000542 => x"f95ff06f",
    00000542 => x"00a484b3",
    00000543 => x"ff010113",
    00000543 => x"00a7a023",
    00000544 => x"00112623",
    00000544 => x"00490913",
    00000545 => x"ec9ff0ef",
    00000545 => x"f95ff06f",
    00000546 => x"ffff1537",
    00000546 => x"ff010113",
    00000547 => x"ecc50513",
    00000547 => x"00112623",
    00000548 => x"440000ef",
    00000548 => x"ebdff0ef",
    00000549 => x"b05ff0ef",
    00000549 => x"ffff1537",
    00000550 => x"0000006f",
    00000550 => x"ecc50513",
    00000551 => x"ff010113",
    00000551 => x"434000ef",
    00000552 => x"00112623",
    00000552 => x"af9ff0ef",
    00000553 => x"00812423",
    00000553 => x"0000006f",
    00000554 => x"00912223",
    00000554 => x"ff010113",
    00000555 => x"00058413",
    00000555 => x"00112623",
    00000556 => x"00050493",
    00000556 => x"00812423",
    00000557 => x"d6dff0ef",
    00000557 => x"00912223",
    00000558 => x"00000513",
    00000558 => x"00058413",
    00000559 => x"4b8000ef",
    00000559 => x"00050493",
    00000560 => x"00200513",
    00000560 => x"d61ff0ef",
    00000561 => x"4f4000ef",
    00000561 => x"00000513",
    00000562 => x"00048513",
    00000562 => x"4ac000ef",
    00000563 => x"d7dff0ef",
    00000563 => x"00200513",
    00000564 => x"00040513",
    00000564 => x"4e8000ef",
    00000565 => x"4e4000ef",
    00000565 => x"00048513",
    00000566 => x"00000513",
    00000566 => x"d71ff0ef",
    00000567 => x"4b8000ef",
    00000567 => x"00040513",
    00000568 => x"cb9ff0ef",
    00000568 => x"4d8000ef",
    00000569 => x"00157513",
    00000569 => x"00000513",
    00000570 => x"fe051ce3",
    00000570 => x"4ac000ef",
    00000571 => x"00c12083",
    00000571 => x"cadff0ef",
    00000572 => x"00812403",
    00000572 => x"00157513",
    00000573 => x"00412483",
    00000573 => x"fe051ce3",
    00000574 => x"01010113",
    00000574 => x"00c12083",
    00000575 => x"00008067",
    00000575 => x"00812403",
    00000576 => x"fe010113",
    00000576 => x"00412483",
    00000577 => x"00812c23",
    00000577 => x"01010113",
    00000578 => x"00912a23",
    00000578 => x"00008067",
    00000579 => x"01212823",
    00000579 => x"fe010113",
    00000580 => x"00112e23",
    00000580 => x"00812c23",
    00000581 => x"00b12623",
    00000581 => x"00912a23",
    00000582 => x"00300413",
    00000582 => x"01212823",
    00000583 => x"00350493",
    00000583 => x"00112e23",
    00000584 => x"fff00913",
    00000584 => x"00b12623",
    00000585 => x"00c10793",
    00000585 => x"00300413",
    00000586 => x"008787b3",
    00000586 => x"00350493",
    00000587 => x"0007c583",
    00000587 => x"fff00913",
    00000588 => x"40848533",
    00000588 => x"00c10793",
    00000589 => x"fff40413",
    00000589 => x"008787b3",
    00000590 => x"f65ff0ef",
    00000590 => x"0007c583",
    00000591 => x"ff2414e3",
    00000591 => x"40848533",
    00000592 => x"01c12083",
    00000592 => x"fff40413",
    00000593 => x"01812403",
    00000593 => x"f65ff0ef",
    00000594 => x"01412483",
    00000594 => x"ff2414e3",
    00000595 => x"01012903",
    00000595 => x"01c12083",
    00000596 => x"02010113",
    00000596 => x"01812403",
    00000597 => x"00008067",
    00000597 => x"01412483",
    00000598 => x"ff010113",
    00000598 => x"01012903",
    00000599 => x"00112623",
    00000599 => x"02010113",
    00000600 => x"00812423",
    00000600 => x"00008067",
    00000601 => x"00050413",
    00000601 => x"ff010113",
    00000602 => x"cb9ff0ef",
    00000602 => x"00112623",
    00000603 => x"00000513",
    00000603 => x"00812423",
    00000604 => x"404000ef",
    00000604 => x"00050413",
    00000605 => x"0d800513",
    00000605 => x"cadff0ef",
    00000606 => x"440000ef",
    00000606 => x"00000513",
    00000607 => x"00040513",
    00000607 => x"3f8000ef",
    00000608 => x"cc9ff0ef",
    00000608 => x"0d800513",
    00000609 => x"00000513",
    00000609 => x"434000ef",
    00000610 => x"40c000ef",
    00000610 => x"00040513",
    00000611 => x"c0dff0ef",
    00000611 => x"cbdff0ef",
    00000612 => x"00157513",
    00000612 => x"00000513",
    00000613 => x"fe051ce3",
    00000613 => x"400000ef",
    00000614 => x"00c12083",
    00000614 => x"c01ff0ef",
    00000615 => x"00812403",
    00000615 => x"00157513",
    00000616 => x"01010113",
    00000616 => x"fe051ce3",
    00000617 => x"00008067",
    00000617 => x"00c12083",
    00000618 => x"fe010113",
    00000618 => x"00812403",
    00000619 => x"800007b7",
    00000619 => x"01010113",
    00000620 => x"00812c23",
    00000620 => x"00008067",
    00000621 => x"0007a403",
    00000621 => x"fe010113",
    00000622 => x"00112e23",
    00000622 => x"800007b7",
    00000623 => x"00912a23",
    00000623 => x"00812c23",
    00000624 => x"01212823",
    00000624 => x"0007a403",
    00000625 => x"01312623",
    00000625 => x"00112e23",
    00000626 => x"01412423",
    00000626 => x"00912a23",
    00000627 => x"01512223",
    00000627 => x"01212823",
    00000628 => x"02041863",
    00000628 => x"01312623",
    00000629 => x"ffff1537",
    00000629 => x"01412423",
    00000630 => x"e5450513",
    00000630 => x"01512223",
    00000631 => x"01812403",
    00000631 => x"02041863",
    00000632 => x"01c12083",
    00000632 => x"ffff1537",
    00000633 => x"01412483",
    00000633 => x"e5450513",
    00000634 => x"01012903",
    00000634 => x"01812403",
    00000635 => x"00c12983",
    00000635 => x"01c12083",
    00000636 => x"00812a03",
    00000636 => x"01412483",
    00000637 => x"00412a83",
    00000637 => x"01012903",
    00000638 => x"02010113",
    00000638 => x"00c12983",
    00000639 => x"2d40006f",
    00000639 => x"00812a03",
    00000640 => x"ffff1537",
    00000640 => x"00412a83",
    00000641 => x"ed050513",
    00000641 => x"02010113",
    00000642 => x"2c8000ef",
    00000642 => x"2c80006f",
    00000643 => x"00040513",
    00000643 => x"ffff1537",
    00000644 => x"a15ff0ef",
    00000644 => x"ed050513",
    00000645 => x"ffff1537",
    00000645 => x"2bc000ef",
    00000646 => x"edc50513",
    00000646 => x"00040513",
    00000647 => x"2b4000ef",
    00000647 => x"a09ff0ef",
    00000648 => x"00800537",
    00000648 => x"ffff1537",
    00000649 => x"a01ff0ef",
    00000649 => x"edc50513",
    00000650 => x"ffff1537",
    00000650 => x"2a8000ef",
    00000651 => x"ef850513",
    00000651 => x"00800537",
    00000652 => x"2a0000ef",
    00000652 => x"9f5ff0ef",
    00000653 => x"280000ef",
    00000653 => x"ffff1537",
    00000654 => x"00050493",
    00000654 => x"ef850513",
    00000655 => x"268000ef",
    00000655 => x"294000ef",
    00000656 => x"07900793",
    00000656 => x"274000ef",
    00000657 => x"0af49e63",
    00000657 => x"00050493",
    00000658 => x"b95ff0ef",
    00000658 => x"25c000ef",
    00000659 => x"00051663",
    00000659 => x"07900793",
    00000660 => x"00300513",
    00000660 => x"0af49e63",
    00000661 => x"999ff0ef",
    00000661 => x"b89ff0ef",
    00000662 => x"ffff1537",
    00000662 => x"00051663",
    00000663 => x"f0450513",
    00000663 => x"00300513",
    00000664 => x"01045493",
    00000664 => x"98dff0ef",
    00000665 => x"26c000ef",
    00000665 => x"ffff1537",
    00000666 => x"00148493",
    00000666 => x"f0450513",
    00000667 => x"00800937",
    00000667 => x"01045493",
    00000668 => x"fff00993",
    00000668 => x"260000ef",
    00000669 => x"00010a37",
    00000669 => x"00148493",
    00000670 => x"fff48493",
    00000670 => x"00800937",
    00000671 => x"07349063",
    00000671 => x"fff00993",
    00000672 => x"4788d5b7",
    00000672 => x"00010a37",
    00000673 => x"afe58593",
    00000673 => x"fff48493",
    00000674 => x"00800537",
    00000674 => x"07349063",
    00000675 => x"e75ff0ef",
    00000675 => x"4788d5b7",
    00000676 => x"00800537",
    00000676 => x"afe58593",
    00000677 => x"00040593",
    00000677 => x"00800537",
    00000678 => x"00450513",
    00000678 => x"e75ff0ef",
    00000679 => x"e65ff0ef",
    00000679 => x"00800537",
    00000680 => x"ff002a03",
    00000680 => x"00040593",
    00000681 => x"008009b7",
    00000681 => x"00450513",
    00000682 => x"ffc47413",
    00000682 => x"e65ff0ef",
    00000683 => x"00000493",
    00000683 => x"ff002a03",
    00000684 => x"00000913",
    00000684 => x"008009b7",
    00000685 => x"00c98a93",
    00000685 => x"ffc47413",
    00000686 => x"01548533",
    00000686 => x"00000493",
    00000687 => x"009a07b3",
    00000687 => x"00000913",
    00000688 => x"02849663",
    00000688 => x"00c98a93",
    00000689 => x"00898513",
    00000689 => x"01548533",
    00000690 => x"412005b3",
    00000690 => x"009a07b3",
    00000691 => x"e35ff0ef",
    00000691 => x"02849663",
    00000692 => x"ffff1537",
    00000692 => x"00898513",
    00000693 => x"ec850513",
    00000693 => x"412005b3",
    00000694 => x"f05ff06f",
    00000694 => x"e35ff0ef",
    00000695 => x"00090513",
    00000695 => x"ffff1537",
    00000696 => x"e79ff0ef",
    00000696 => x"ec850513",
    00000697 => x"01490933",
    00000697 => x"f05ff06f",
    00000698 => x"f91ff06f",
    00000698 => x"00090513",
    00000699 => x"0007a583",
    00000699 => x"e79ff0ef",
    00000700 => x"00448493",
    00000700 => x"01490933",
    00000701 => x"00b90933",
    00000701 => x"f91ff06f",
    00000702 => x"e09ff0ef",
    00000702 => x"0007a583",
    00000703 => x"fbdff06f",
    00000703 => x"00448493",
    00000704 => x"01c12083",
    00000704 => x"00b90933",
    00000705 => x"01812403",
    00000705 => x"e09ff0ef",
    00000706 => x"01412483",
    00000706 => x"fbdff06f",
    00000707 => x"01012903",
    00000707 => x"01c12083",
    00000708 => x"00c12983",
    00000708 => x"01812403",
    00000709 => x"00812a03",
    00000709 => x"01412483",
    00000710 => x"00412a83",
    00000710 => x"01012903",
    00000711 => x"02010113",
    00000711 => x"00c12983",
    00000712 => x"00008067",
    00000712 => x"00812a03",
    00000713 => x"fe010113",
    00000713 => x"00412a83",
    00000714 => x"00912a23",
    00000714 => x"02010113",
    00000715 => x"01212823",
    00000715 => x"00008067",
    00000716 => x"01312623",
    00000716 => x"fe010113",
    00000717 => x"01412423",
    00000717 => x"00912a23",
    00000718 => x"00112e23",
    00000718 => x"01312623",
    00000719 => x"00812c23",
    00000719 => x"01412423",
    00000720 => x"00000493",
    00000720 => x"00112e23",
    00000721 => x"00900993",
    00000721 => x"00812c23",
    00000722 => x"00300a13",
    00000722 => x"01212823",
    00000723 => x"00400913",
    00000723 => x"00000493",
    00000724 => x"f1302473",
    00000724 => x"00300a13",
    00000725 => x"40900533",
    00000725 => x"00400993",
    00000726 => x"00351513",
    00000726 => x"f13027f3",
    00000727 => x"01850513",
    00000727 => x"40900733",
    00000728 => x"00a45433",
    00000728 => x"00371713",
    00000729 => x"0ff47413",
    00000729 => x"01870713",
    00000730 => x"00000513",
    00000730 => x"00e7d7b3",
    00000731 => x"0489ea63",
    00000731 => x"0ff7f793",
    00000732 => x"00050863",
    00000732 => x"0047d513",
    00000733 => x"03050513",
    00000733 => x"40a00933",
    00000734 => x"0ff57513",
    00000734 => x"00491913",
    00000735 => x"128000ef",
    00000735 => x"03078793",
    00000736 => x"03040513",
    00000736 => x"0ff97913",
    00000737 => x"0ff57513",
    00000737 => x"0ff7f413",
    00000738 => x"11c000ef",
    00000738 => x"00050663",
    00000739 => x"01448663",
    00000739 => x"03050513",
    00000740 => x"02e00513",
    00000740 => x"114000ef",
    00000741 => x"110000ef",
    00000741 => x"01240533",
    00000742 => x"00148493",
    00000742 => x"0ff57513",
    00000743 => x"fb249ae3",
    00000743 => x"108000ef",
    00000744 => x"01c12083",
    00000744 => x"01448663",
    00000745 => x"01812403",
    00000745 => x"02e00513",
    00000746 => x"01412483",
    00000746 => x"0fc000ef",
    00000747 => x"01012903",
    00000747 => x"00148493",
    00000748 => x"00c12983",
    00000748 => x"fb3494e3",
    00000749 => x"00812a03",
    00000749 => x"01c12083",
    00000750 => x"02010113",
    00000750 => x"01812403",
    00000751 => x"00008067",
    00000751 => x"01412483",
    00000752 => x"ff640413",
    00000752 => x"01012903",
    00000753 => x"00150513",
    00000753 => x"00c12983",
    00000754 => x"0ff47413",
    00000754 => x"00812a03",
    00000755 => x"0ff57513",
    00000755 => x"02010113",
    00000756 => x"f9dff06f",
    00000756 => x"00008067",
    00000757 => x"ff010113",
    00000757 => x"ff010113",
    00000758 => x"f9402783",
    00000758 => x"f9402783",
    00000759 => x"f9002703",
    00000759 => x"f9002703",
    00000760 => x"f9402683",
    00000760 => x"f9402683",
    00000761 => x"fed79ae3",
    00000761 => x"fed79ae3",
Line 981... Line 981...
    00000970 => x"6564616f",
    00000970 => x"6564616f",
    00000971 => x"3e3e2072",
    00000971 => x"3e3e2072",
    00000972 => x"4c420a0a",
    00000972 => x"4c420a0a",
    00000973 => x"203a5644",
    00000973 => x"203a5644",
    00000974 => x"2074634f",
    00000974 => x"2074634f",
    00000975 => x"32203731",
    00000975 => x"32203232",
    00000976 => x"0a303230",
    00000976 => x"0a303230",
    00000977 => x"3a565748",
    00000977 => x"3a565748",
    00000978 => x"00002020",
    00000978 => x"00002020",
    00000979 => x"4b4c430a",
    00000979 => x"4b4c430a",
    00000980 => x"0020203a",
    00000980 => x"0020203a",

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.