OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_bootloader_image.vhd] - Diff between revs 3 and 4

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 3 Rev 4
Line 81... Line 81...
    00000070 => x"00a78533",
    00000070 => x"00a78533",
    00000071 => x"00f537b3",
    00000071 => x"00f537b3",
    00000072 => x"00b785b3",
    00000072 => x"00b785b3",
    00000073 => x"275000ef",
    00000073 => x"275000ef",
    00000074 => x"ffff07b7",
    00000074 => x"ffff07b7",
    00000075 => x"40078793",
    00000075 => x"42078793",
    00000076 => x"30579073",
    00000076 => x"30579073",
    00000077 => x"08000793",
    00000077 => x"08000793",
    00000078 => x"30479073",
    00000078 => x"30479073",
    00000079 => x"46d000ef",
    00000079 => x"46d000ef",
    00000080 => x"00100513",
    00000080 => x"00100513",
Line 93... Line 93...
    00000082 => x"00000793",
    00000082 => x"00000793",
    00000083 => x"34079073",
    00000083 => x"34079073",
    00000084 => x"ffff1537",
    00000084 => x"ffff1537",
    00000085 => x"f1050513",
    00000085 => x"f1050513",
    00000086 => x"305000ef",
    00000086 => x"305000ef",
    00000087 => x"39c000ef",
    00000087 => x"3bc000ef",
    00000088 => x"ffff1537",
    00000088 => x"ffff1537",
    00000089 => x"f4850513",
    00000089 => x"f4850513",
    00000090 => x"2f5000ef",
    00000090 => x"2f5000ef",
    00000091 => x"fc101573",
    00000091 => x"fc101573",
    00000092 => x"220000ef",
    00000092 => x"240000ef",
    00000093 => x"ffff1537",
    00000093 => x"ffff1537",
    00000094 => x"f5050513",
    00000094 => x"f5050513",
    00000095 => x"2e1000ef",
    00000095 => x"2e1000ef",
    00000096 => x"30101573",
    00000096 => x"30101573",
    00000097 => x"20c000ef",
    00000097 => x"22c000ef",
    00000098 => x"ffff1537",
    00000098 => x"ffff1537",
    00000099 => x"f5c50513",
    00000099 => x"f5c50513",
    00000100 => x"2cd000ef",
    00000100 => x"2cd000ef",
    00000101 => x"fc001573",
    00000101 => x"fc001573",
    00000102 => x"1f8000ef",
    00000102 => x"218000ef",
    00000103 => x"ffff1537",
    00000103 => x"ffff1537",
    00000104 => x"f6450513",
    00000104 => x"f6450513",
    00000105 => x"2b9000ef",
    00000105 => x"2b9000ef",
    00000106 => x"fc601573",
    00000106 => x"fc601573",
    00000107 => x"ffff14b7",
    00000107 => x"ffff14b7",
    00000108 => x"1e0000ef",
    00000108 => x"200000ef",
    00000109 => x"f6c48513",
    00000109 => x"f6c48513",
    00000110 => x"2a5000ef",
    00000110 => x"2a5000ef",
    00000111 => x"fc401573",
    00000111 => x"fc401573",
    00000112 => x"1d0000ef",
    00000112 => x"1f0000ef",
    00000113 => x"ffff1537",
    00000113 => x"ffff1537",
    00000114 => x"f7850513",
    00000114 => x"f7850513",
    00000115 => x"291000ef",
    00000115 => x"291000ef",
    00000116 => x"fc701573",
    00000116 => x"fc701573",
    00000117 => x"1bc000ef",
    00000117 => x"1dc000ef",
    00000118 => x"f6c48513",
    00000118 => x"f6c48513",
    00000119 => x"281000ef",
    00000119 => x"281000ef",
    00000120 => x"fc501573",
    00000120 => x"fc501573",
    00000121 => x"1ac000ef",
    00000121 => x"1cc000ef",
    00000122 => x"ffff1537",
    00000122 => x"ffff1537",
    00000123 => x"f8050513",
    00000123 => x"f8050513",
    00000124 => x"26d000ef",
    00000124 => x"26d000ef",
    00000125 => x"00341413",
    00000125 => x"00341413",
    00000126 => x"00000493",
    00000126 => x"00000493",
    00000127 => x"fa402783",
    00000127 => x"ffff1937",
    00000128 => x"0607d063",
    00000128 => x"fa402783",
    00000129 => x"ffff1537",
    00000129 => x"0607d063",
    00000130 => x"fb050513",
    00000130 => x"ffff1537",
    00000131 => x"251000ef",
    00000131 => x"fb050513",
    00000132 => x"ffff1937",
    00000132 => x"24d000ef",
    00000133 => x"0ec000ef",
    00000133 => x"ffff1937",
    00000134 => x"ffff19b7",
    00000134 => x"0f4000ef",
    00000135 => x"07200a13",
    00000135 => x"ffff19b7",
    00000136 => x"06800a93",
    00000136 => x"07200a13",
    00000137 => x"07500b13",
    00000137 => x"06800a93",
    00000138 => x"07300b93",
    00000138 => x"07500b13",
    00000139 => x"ffff14b7",
    00000139 => x"07300b93",
    00000140 => x"ffff1c37",
    00000140 => x"ffff14b7",
    00000141 => x"fbc90513",
    00000141 => x"ffff1c37",
    00000142 => x"225000ef",
    00000142 => x"fbc90513",
    00000143 => x"211000ef",
    00000143 => x"221000ef",
    00000144 => x"00050413",
    00000144 => x"20d000ef",
    00000145 => x"1f9000ef",
    00000145 => x"00050413",
    00000146 => x"fac98513",
    00000146 => x"1f5000ef",
    00000147 => x"211000ef",
    00000147 => x"fac98513",
    00000148 => x"07440e63",
    00000148 => x"20d000ef",
    00000149 => x"03541863",
    00000149 => x"09440263",
    00000150 => x"0a8000ef",
    00000150 => x"03541863",
    00000151 => x"fd9ff06f",
    00000151 => x"0b0000ef",
    00000152 => x"10d000ef",
    00000152 => x"fd9ff06f",
    00000153 => x"00b49463",
    00000153 => x"109000ef",
    00000154 => x"f8856ae3",
    00000154 => x"00b49463",
    00000155 => x"00100513",
    00000155 => x"f8856ae3",
    00000156 => x"4a0000ef",
    00000156 => x"00100513",
    00000157 => x"ffff1537",
    00000157 => x"508000ef",
    00000158 => x"fac50513",
    00000158 => x"fac90513",
    00000159 => x"1e1000ef",
    00000159 => x"1e1000ef",
    00000160 => x"08c000ef",
    00000160 => x"098000ef",
    00000161 => x"00000513",
    00000161 => x"f7dff06f",
    00000162 => x"01640e63",
    00000162 => x"00000513",
    00000163 => x"01741663",
    00000163 => x"01640e63",
    00000164 => x"730000ef",
    00000164 => x"01741663",
    00000165 => x"fa1ff06f",
    00000165 => x"72c000ef",
    00000166 => x"06c00793",
    00000166 => x"fa1ff06f",
    00000167 => x"00f41863",
    00000167 => x"06c00793",
    00000168 => x"00100513",
    00000168 => x"00f41863",
    00000169 => x"46c000ef",
    00000169 => x"00100513",
    00000170 => x"f8dff06f",
    00000170 => x"4d4000ef",
    00000171 => x"06500793",
    00000171 => x"f8dff06f",
    00000172 => x"fcf408e3",
    00000172 => x"06500793",
    00000173 => x"03f00793",
    00000173 => x"00f41663",
    00000174 => x"fc4c0513",
    00000174 => x"060000ef",
    00000175 => x"00f40463",
    00000175 => x"f7dff06f",
    00000176 => x"fd848513",
    00000176 => x"03f00793",
    00000177 => x"199000ef",
    00000177 => x"fc4c0513",
    00000178 => x"f6dff06f",
    00000178 => x"00f40463",
    00000179 => x"02c12083",
    00000179 => x"fd848513",
    00000180 => x"02812403",
    00000180 => x"18d000ef",
    00000181 => x"02412483",
    00000181 => x"f65ff06f",
    00000182 => x"02012903",
    00000182 => x"02c12083",
    00000183 => x"01c12983",
    00000183 => x"02812403",
    00000184 => x"01812a03",
    00000184 => x"02412483",
    00000185 => x"01412a83",
    00000185 => x"02012903",
    00000186 => x"01012b03",
    00000186 => x"01c12983",
    00000187 => x"00c12b83",
    00000187 => x"01812a03",
    00000188 => x"00812c03",
    00000188 => x"01412a83",
    00000189 => x"00000513",
    00000189 => x"01012b03",
    00000190 => x"03010113",
    00000190 => x"00c12b83",
    00000191 => x"00008067",
    00000191 => x"00812c03",
    00000192 => x"ffff1537",
    00000192 => x"00000513",
    00000193 => x"de050513",
    00000193 => x"03010113",
    00000194 => x"1550006f",
    00000194 => x"00008067",
    00000195 => x"ff010113",
    00000195 => x"ffff1537",
    00000196 => x"00112623",
    00000196 => x"de050513",
    00000197 => x"29d000ef",
    00000197 => x"1490006f",
    00000198 => x"00000793",
    00000198 => x"340017f3",
    00000199 => x"30479073",
    00000199 => x"00079863",
    00000200 => x"ffff1537",
    00000200 => x"ffff1537",
    00000201 => x"e4450513",
    00000201 => x"e4450513",
    00000202 => x"135000ef",
    00000202 => x"1350006f",
    00000203 => x"fa002783",
    00000203 => x"ff010113",
    00000204 => x"fe07cee3",
    00000204 => x"00112623",
    00000205 => x"fc4017f3",
    00000205 => x"27d000ef",
    00000206 => x"00078067",
    00000206 => x"00000793",
    00000207 => x"ff9ff06f",
    00000207 => x"30479073",
    00000208 => x"ff010113",
    00000208 => x"ffff1537",
    00000209 => x"00812423",
    00000209 => x"e6050513",
    00000210 => x"00050413",
    00000210 => x"115000ef",
    00000211 => x"ffff1537",
    00000211 => x"fa002783",
    00000212 => x"e5450513",
    00000212 => x"fe07cee3",
    00000213 => x"00112623",
    00000213 => x"fc4017f3",
    00000214 => x"105000ef",
    00000214 => x"00078067",
    00000215 => x"00500793",
    00000215 => x"ff9ff06f",
    00000216 => x"0287e063",
    00000216 => x"ff010113",
    00000217 => x"03040513",
    00000217 => x"00812423",
    00000218 => x"0ff57513",
    00000218 => x"00050413",
    00000219 => x"0d1000ef",
    00000219 => x"ffff1537",
    00000220 => x"241000ef",
    00000220 => x"e7050513",
    00000221 => x"00100513",
    00000221 => x"00112623",
    00000222 => x"215000ef",
    00000222 => x"0e5000ef",
    00000223 => x"0000006f",
    00000223 => x"00500793",
    00000224 => x"ffff1537",
    00000224 => x"0287e063",
    00000225 => x"e5c50513",
    00000225 => x"03040513",
    00000226 => x"0d5000ef",
    00000226 => x"0ff57513",
    00000227 => x"fe5ff06f",
    00000227 => x"0b1000ef",
    00000228 => x"fe010113",
    00000228 => x"221000ef",
    00000229 => x"01212823",
    00000229 => x"00100513",
    00000230 => x"00050913",
    00000230 => x"1f5000ef",
    00000231 => x"ffff1537",
    00000231 => x"0000006f",
    00000232 => x"00912a23",
    00000232 => x"ffff1537",
    00000233 => x"e6450513",
    00000233 => x"e7850513",
    00000234 => x"ffff14b7",
    00000234 => x"0b5000ef",
    00000235 => x"00812c23",
    00000235 => x"fe5ff06f",
    00000236 => x"01312623",
    00000236 => x"fe010113",
    00000237 => x"00112e23",
    00000237 => x"01212823",
    00000238 => x"01c00413",
    00000238 => x"00050913",
    00000239 => x"0a1000ef",
    00000239 => x"ffff1537",
    00000240 => x"fe448493",
    00000240 => x"00912a23",
    00000241 => x"ffc00993",
    00000241 => x"e8050513",
    00000242 => x"008957b3",
    00000242 => x"ffff14b7",
    00000243 => x"00f7f793",
    00000243 => x"00812c23",
    00000244 => x"00f487b3",
    00000244 => x"01312623",
    00000245 => x"0007c503",
    00000245 => x"00112e23",
    00000246 => x"ffc40413",
    00000246 => x"01c00413",
    00000247 => x"061000ef",
    00000247 => x"081000ef",
    00000248 => x"ff3414e3",
    00000248 => x"fe448493",
    00000249 => x"01c12083",
    00000249 => x"ffc00993",
    00000250 => x"01812403",
    00000250 => x"008957b3",
    00000251 => x"01412483",
    00000251 => x"00f7f793",
    00000252 => x"01012903",
    00000252 => x"00f487b3",
    00000253 => x"00c12983",
    00000253 => x"0007c503",
    00000254 => x"02010113",
    00000254 => x"ffc40413",
    00000255 => x"00008067",
    00000255 => x"041000ef",
    00000256 => x"fb010113",
    00000256 => x"ff3414e3",
    00000257 => x"04112623",
    00000257 => x"01c12083",
    00000258 => x"04512423",
    00000258 => x"01812403",
    00000259 => x"04612223",
    00000259 => x"01412483",
    00000260 => x"04712023",
    00000260 => x"01012903",
    00000261 => x"02812e23",
    00000261 => x"00c12983",
    00000262 => x"02a12c23",
    00000262 => x"02010113",
    00000263 => x"02b12a23",
    00000263 => x"00008067",
    00000264 => x"02c12823",
    00000264 => x"fb010113",
    00000265 => x"02d12623",
    00000265 => x"04112623",
    00000266 => x"02e12423",
    00000266 => x"04512423",
    00000267 => x"02f12223",
    00000267 => x"04612223",
    00000268 => x"03012023",
    00000268 => x"04712023",
    00000269 => x"01112e23",
    00000269 => x"02812e23",
    00000270 => x"01c12c23",
    00000270 => x"02a12c23",
    00000271 => x"01d12a23",
    00000271 => x"02b12a23",
    00000272 => x"01e12823",
    00000272 => x"02c12823",
    00000273 => x"01f12623",
    00000273 => x"02d12623",
    00000274 => x"34201473",
    00000274 => x"02e12423",
    00000275 => x"800007b7",
    00000275 => x"02f12223",
    00000276 => x"00778793",
    00000276 => x"03012023",
    00000277 => x"02f40a63",
    00000277 => x"01112e23",
    00000278 => x"ffff1537",
    00000278 => x"01c12c23",
    00000279 => x"e6850513",
    00000279 => x"01d12a23",
    00000280 => x"7fc000ef",
    00000280 => x"01e12823",
    00000281 => x"00040513",
    00000281 => x"01f12623",
    00000282 => x"f29ff0ef",
    00000282 => x"34201473",
    00000283 => x"ffff1537",
    00000283 => x"800007b7",
    00000284 => x"e7850513",
    00000284 => x"00778793",
    00000285 => x"7e8000ef",
    00000285 => x"02f40a63",
    00000286 => x"34101573",
    00000286 => x"ffff1537",
    00000287 => x"f15ff0ef",
    00000287 => x"e8450513",
    00000288 => x"00500513",
    00000288 => x"7dc000ef",
    00000289 => x"ebdff0ef",
    00000289 => x"00040513",
    00000290 => x"00000513",
    00000290 => x"f29ff0ef",
    00000291 => x"0e1000ef",
    00000291 => x"ffff1537",
    00000292 => x"6dc000ef",
    00000292 => x"e9450513",
    00000293 => x"fc1017f3",
    00000293 => x"7c8000ef",
    00000294 => x"0027d793",
    00000294 => x"34101573",
    00000295 => x"00a78533",
    00000295 => x"f15ff0ef",
    00000296 => x"00f537b3",
    00000296 => x"00500513",
    00000297 => x"00b785b3",
    00000297 => x"ebdff0ef",
    00000298 => x"6f0000ef",
    00000298 => x"00000513",
    00000299 => x"03c12403",
    00000299 => x"0c1000ef",
    00000300 => x"04c12083",
    00000300 => x"6bc000ef",
    00000301 => x"04812283",
    00000301 => x"fc1017f3",
    00000302 => x"04412303",
    00000302 => x"0027d793",
    00000303 => x"04012383",
    00000303 => x"00a78533",
    00000304 => x"03812503",
    00000304 => x"00f537b3",
    00000305 => x"03412583",
    00000305 => x"00b785b3",
    00000306 => x"03012603",
    00000306 => x"6d0000ef",
    00000307 => x"02c12683",
    00000307 => x"03c12403",
    00000308 => x"02812703",
    00000308 => x"04c12083",
    00000309 => x"02412783",
    00000309 => x"04812283",
    00000310 => x"02012803",
    00000310 => x"04412303",
    00000311 => x"01c12883",
    00000311 => x"04012383",
    00000312 => x"01812e03",
    00000312 => x"03812503",
    00000313 => x"01412e83",
    00000313 => x"03412583",
    00000314 => x"01012f03",
    00000314 => x"03012603",
    00000315 => x"00c12f83",
    00000315 => x"02c12683",
    00000316 => x"05010113",
    00000316 => x"02812703",
    00000317 => x"30200073",
    00000317 => x"02412783",
    00000318 => x"fe010113",
    00000318 => x"02012803",
    00000319 => x"00112e23",
    00000319 => x"01c12883",
    00000320 => x"00812c23",
    00000320 => x"01812e03",
    00000321 => x"00912a23",
    00000321 => x"01412e83",
    00000322 => x"01212823",
    00000322 => x"01012f03",
    00000323 => x"01312623",
    00000323 => x"00c12f83",
    00000324 => x"01412423",
    00000324 => x"05010113",
    00000325 => x"01512223",
    00000325 => x"30200073",
    00000326 => x"f1301973",
    00000326 => x"fe010113",
    00000327 => x"00000a93",
    00000327 => x"00112e23",
    00000328 => x"00900993",
    00000328 => x"00812c23",
    00000329 => x"00300a13",
    00000329 => x"00912a23",
    00000330 => x"00400493",
    00000330 => x"01212823",
    00000331 => x"41500433",
    00000331 => x"01312623",
    00000332 => x"00341413",
    00000332 => x"01412423",
    00000333 => x"01840413",
    00000333 => x"01512223",
    00000334 => x"00895433",
    00000334 => x"f1301973",
    00000335 => x"0ff47413",
    00000335 => x"00000a93",
    00000336 => x"00000513",
    00000336 => x"00900993",
    00000337 => x"0489ec63",
    00000337 => x"00300a13",
    00000338 => x"00050863",
    00000338 => x"00400493",
    00000339 => x"03050513",
    00000339 => x"41500433",
    00000340 => x"0ff57513",
    00000340 => x"00341413",
    00000341 => x"6e8000ef",
    00000341 => x"01840413",
    00000342 => x"03040513",
    00000342 => x"00895433",
    00000343 => x"0ff57513",
    00000343 => x"0ff47413",
    00000344 => x"6dc000ef",
    00000344 => x"00000513",
    00000345 => x"014a8663",
    00000345 => x"0489ec63",
    00000346 => x"02e00513",
    00000346 => x"00050863",
    00000347 => x"6d0000ef",
    00000347 => x"03050513",
    00000348 => x"001a8a93",
    00000348 => x"0ff57513",
    00000349 => x"fa9a9ce3",
    00000349 => x"6c8000ef",
    00000350 => x"01c12083",
    00000350 => x"03040513",
    00000351 => x"01812403",
    00000351 => x"0ff57513",
    00000352 => x"01412483",
    00000352 => x"6bc000ef",
    00000353 => x"01012903",
    00000353 => x"014a8663",
    00000354 => x"00c12983",
    00000354 => x"02e00513",
    00000355 => x"00812a03",
    00000355 => x"6b0000ef",
    00000356 => x"00412a83",
    00000356 => x"001a8a93",
    00000357 => x"02010113",
    00000357 => x"fa9a9ce3",
    00000358 => x"00008067",
    00000358 => x"01c12083",
    00000359 => x"ff640413",
    00000359 => x"01812403",
    00000360 => x"00150513",
    00000360 => x"01412483",
    00000361 => x"0ff47413",
    00000361 => x"01012903",
    00000362 => x"0ff57513",
    00000362 => x"00c12983",
    00000363 => x"f99ff06f",
    00000363 => x"00812a03",
    00000364 => x"ff010113",
    00000364 => x"00412a83",
    00000365 => x"00812423",
    00000365 => x"02010113",
    00000366 => x"00050413",
    00000366 => x"00008067",
    00000367 => x"00000513",
    00000367 => x"ff640413",
    00000368 => x"00112623",
    00000368 => x"00150513",
    00000369 => x"750000ef",
    00000369 => x"0ff47413",
    00000370 => x"00300513",
    00000370 => x"0ff57513",
    00000371 => x"78c000ef",
    00000371 => x"f99ff06f",
    00000372 => x"01045513",
    00000372 => x"ff010113",
    00000373 => x"0ff57513",
    00000373 => x"00000513",
    00000374 => x"780000ef",
    00000374 => x"00112623",
    00000375 => x"00845513",
    00000375 => x"00812423",
    00000376 => x"0ff57513",
    00000376 => x"734000ef",
    00000377 => x"774000ef",
    00000377 => x"00500513",
    00000378 => x"0ff47513",
    00000378 => x"770000ef",
    00000379 => x"76c000ef",
    00000379 => x"00000513",
    00000380 => x"00000513",
    00000380 => x"768000ef",
    00000381 => x"764000ef",
    00000381 => x"00050413",
    00000382 => x"00050413",
    00000382 => x"00000513",
    00000383 => x"00000513",
    00000383 => x"738000ef",
    00000384 => x"734000ef",
    00000384 => x"00c12083",
    00000385 => x"00c12083",
    00000385 => x"0ff47513",
    00000386 => x"0ff47513",
    00000386 => x"00812403",
    00000387 => x"00812403",
    00000387 => x"01010113",
    00000388 => x"01010113",
    00000388 => x"00008067",
    00000389 => x"00008067",
    00000389 => x"ff010113",
    00000390 => x"fd010113",
    00000390 => x"00000513",
    00000391 => x"02812423",
    00000391 => x"00112623",
    00000392 => x"02912223",
    00000392 => x"00812423",
    00000393 => x"03212023",
    00000393 => x"6f0000ef",
    00000394 => x"01312e23",
    00000394 => x"09e00513",
    00000395 => x"02112623",
    00000395 => x"72c000ef",
    00000396 => x"00050493",
    00000396 => x"00000513",
    00000397 => x"00300413",
    00000397 => x"724000ef",
    00000398 => x"00358913",
    00000398 => x"00050413",
    00000399 => x"fff00993",
    00000399 => x"00000513",
    00000400 => x"02049e63",
    00000400 => x"6f4000ef",
    00000401 => x"608000ef",
    00000401 => x"00c12083",
    00000402 => x"00c10793",
    00000402 => x"0ff47513",
    00000403 => x"008787b3",
    00000403 => x"00812403",
    00000404 => x"00a78023",
    00000404 => x"01010113",
    00000405 => x"fff40413",
    00000405 => x"00008067",
    00000406 => x"ff3414e3",
    00000406 => x"ff010113",
    00000407 => x"02c12083",
    00000407 => x"00000513",
    00000408 => x"02812403",
    00000408 => x"00112623",
    00000409 => x"00c12503",
    00000409 => x"6b0000ef",
    00000410 => x"02412483",
    00000410 => x"00600513",
    00000411 => x"02012903",
    00000411 => x"6ec000ef",
    00000412 => x"01c12983",
    00000412 => x"00c12083",
    00000413 => x"03010113",
    00000413 => x"00000513",
    00000414 => x"00008067",
    00000414 => x"01010113",
    00000415 => x"40890533",
    00000415 => x"6b80006f",
    00000416 => x"f31ff0ef",
    00000416 => x"ff010113",
    00000417 => x"fc5ff06f",
    00000417 => x"00812423",
    00000418 => x"ff010113",
    00000418 => x"00050413",
    00000419 => x"00000513",
    00000419 => x"01055513",
    00000420 => x"00112623",
    00000420 => x"0ff57513",
    00000421 => x"00812423",
    00000421 => x"00112623",
    00000422 => x"67c000ef",
    00000422 => x"6c0000ef",
    00000423 => x"00500513",
    00000423 => x"00845513",
    00000424 => x"6b8000ef",
    00000424 => x"0ff57513",
    00000425 => x"00000513",
    00000425 => x"6b4000ef",
    00000426 => x"6b0000ef",
    00000426 => x"0ff47513",
    00000427 => x"00050413",
    00000427 => x"00812403",
    00000428 => x"00000513",
    00000428 => x"00c12083",
    00000429 => x"680000ef",
    00000429 => x"01010113",
    00000430 => x"00c12083",
    00000430 => x"6a00006f",
    00000431 => x"0ff47513",
    00000431 => x"ff010113",
    00000432 => x"00812403",
    00000432 => x"00812423",
    00000433 => x"01010113",
    00000433 => x"00050413",
    00000434 => x"00008067",
    00000434 => x"00000513",
    00000435 => x"ff010113",
    00000435 => x"00112623",
    00000436 => x"00000513",
    00000436 => x"644000ef",
    00000437 => x"00112623",
    00000437 => x"00300513",
    00000438 => x"00812423",
    00000438 => x"680000ef",
    00000439 => x"638000ef",
    00000439 => x"00040513",
    00000440 => x"09e00513",
    00000440 => x"fa1ff0ef",
    00000441 => x"674000ef",
    00000441 => x"00000513",
    00000442 => x"00000513",
    00000442 => x"670000ef",
    00000443 => x"66c000ef",
    00000443 => x"00050413",
    00000444 => x"00050413",
    00000444 => x"00000513",
    00000445 => x"00000513",
    00000445 => x"640000ef",
    00000446 => x"63c000ef",
    00000446 => x"00c12083",
    00000447 => x"00c12083",
    00000447 => x"0ff47513",
    00000448 => x"0ff47513",
    00000448 => x"00812403",
    00000449 => x"00812403",
    00000449 => x"01010113",
    00000450 => x"01010113",
    00000450 => x"00008067",
    00000451 => x"00008067",
    00000451 => x"fd010113",
    00000452 => x"fd010113",
    00000452 => x"02812423",
    00000453 => x"02112623",
    00000453 => x"02912223",
    00000454 => x"02812423",
    00000454 => x"03212023",
    00000455 => x"02912223",
    00000455 => x"01312e23",
    00000456 => x"03212023",
    00000456 => x"02112623",
    00000457 => x"01312e23",
    00000457 => x"00050493",
    00000458 => x"01412c23",
    00000458 => x"00300413",
    00000459 => x"01512a23",
    00000459 => x"00358913",
    00000460 => x"01612823",
    00000460 => x"fff00993",
    00000461 => x"01712623",
    00000461 => x"02049e63",
    00000462 => x"fc001473",
    00000462 => x"514000ef",
    00000463 => x"00847413",
    00000463 => x"00c10793",
    00000464 => x"00040663",
    00000464 => x"008787b3",
    00000465 => x"00400513",
    00000465 => x"00a78023",
    00000466 => x"bf9ff0ef",
    00000466 => x"fff40413",
    00000467 => x"00050493",
    00000467 => x"ff3414e3",
    00000468 => x"02051863",
    00000468 => x"02c12083",
    00000469 => x"ffff1537",
    00000469 => x"02812403",
    00000470 => x"e8050513",
    00000470 => x"00c12503",
    00000471 => x"500000ef",
    00000471 => x"02412483",
    00000472 => x"000405b7",
    00000472 => x"02012903",
    00000473 => x"00048513",
    00000473 => x"01c12983",
    00000474 => x"eb1ff0ef",
    00000474 => x"03010113",
    00000475 => x"4788d7b7",
    00000475 => x"00008067",
    00000476 => x"afe78793",
    00000476 => x"40890533",
    00000477 => x"02f50463",
    00000477 => x"f49ff0ef",
    00000478 => x"00000513",
    00000478 => x"fc5ff06f",
    00000479 => x"fcdff06f",
    00000479 => x"fd010113",
    00000480 => x"ffff1537",
    00000480 => x"02112623",
    00000481 => x"ea050513",
    00000481 => x"02812423",
    00000482 => x"4d4000ef",
    00000482 => x"02912223",
    00000483 => x"f41ff0ef",
    00000483 => x"03212023",
    00000484 => x"fc0518e3",
    00000484 => x"01312e23",
    00000485 => x"00300513",
    00000485 => x"01412c23",
    00000486 => x"fb1ff06f",
    00000486 => x"01512a23",
    00000487 => x"00040a37",
    00000487 => x"01612823",
    00000488 => x"004a0593",
    00000488 => x"01712623",
    00000489 => x"00048513",
    00000489 => x"fc001473",
    00000490 => x"e71ff0ef",
    00000490 => x"00847413",
    00000491 => x"00050913",
    00000491 => x"00040663",
    00000492 => x"008a0593",
    00000492 => x"00400513",
    00000493 => x"00048513",
    00000493 => x"badff0ef",
    00000494 => x"e61ff0ef",
    00000494 => x"00050493",
    00000495 => x"00050a93",
    00000495 => x"02051863",
    00000496 => x"fc6017f3",
    00000496 => x"ffff1537",
    00000497 => x"00100513",
    00000497 => x"e9c50513",
    00000498 => x"f927e0e3",
    00000498 => x"494000ef",
    00000499 => x"fc401bf3",
    00000499 => x"000405b7",
    00000500 => x"00000993",
    00000500 => x"00048513",
    00000501 => x"ffc97b13",
    00000501 => x"f39ff0ef",
    00000502 => x"00ca0a13",
    00000502 => x"4788d7b7",
    00000503 => x"014985b3",
    00000503 => x"afe78793",
    00000504 => x"053b1663",
    00000504 => x"02f50463",
    00000505 => x"01540433",
    00000505 => x"00000513",
    00000506 => x"00200513",
    00000506 => x"fcdff06f",
    00000507 => x"f4041ee3",
    00000507 => x"ffff1537",
    00000508 => x"ffff1537",
    00000508 => x"ebc50513",
    00000509 => x"eac50513",
    00000509 => x"468000ef",
    00000510 => x"464000ef",
    00000510 => x"e1dff0ef",
    00000511 => x"34091073",
    00000511 => x"fc0518e3",
    00000512 => x"02c12083",
    00000512 => x"00300513",
    00000513 => x"02812403",
    00000513 => x"fb1ff06f",
    00000514 => x"02412483",
    00000514 => x"00040a37",
    00000515 => x"02012903",
    00000515 => x"004a0593",
    00000516 => x"01c12983",
    00000516 => x"00048513",
    00000517 => x"01812a03",
    00000517 => x"ef9ff0ef",
    00000518 => x"01412a83",
    00000518 => x"00050913",
    00000519 => x"01012b03",
    00000519 => x"008a0593",
    00000520 => x"00c12b83",
    00000520 => x"00048513",
    00000521 => x"03010113",
    00000521 => x"ee9ff0ef",
    00000522 => x"00008067",
    00000522 => x"00050a93",
    00000523 => x"00048513",
    00000523 => x"fc6017f3",
    00000524 => x"de9ff0ef",
    00000524 => x"00100513",
    00000525 => x"017987b3",
    00000525 => x"f927e0e3",
    00000526 => x"00a40433",
    00000526 => x"fc401bf3",
    00000527 => x"00a7a023",
    00000527 => x"00000993",
    00000528 => x"00498993",
    00000528 => x"ffc97b13",
    00000529 => x"f99ff06f",
    00000529 => x"00ca0a13",
    00000530 => x"ff010113",
    00000530 => x"014985b3",
    00000531 => x"00812423",
    00000531 => x"053b1663",
    00000532 => x"00050413",
    00000532 => x"01540433",
    00000533 => x"00000513",
    00000533 => x"00200513",
    00000534 => x"00112623",
    00000534 => x"f4041ee3",
    00000535 => x"4b8000ef",
    00000535 => x"ffff1537",
    00000536 => x"00040513",
    00000536 => x"ec850513",
    00000537 => x"4f4000ef",
    00000537 => x"3f8000ef",
    00000538 => x"00812403",
    00000538 => x"34091073",
    00000539 => x"00c12083",
    00000539 => x"02c12083",
    00000540 => x"00000513",
    00000540 => x"02812403",
    00000541 => x"01010113",
    00000541 => x"02412483",
    00000542 => x"4bc0006f",
    00000542 => x"02012903",
    00000543 => x"ff010113",
    00000543 => x"01c12983",
    00000544 => x"00812423",
    00000544 => x"01812a03",
    00000545 => x"00050413",
    00000545 => x"01412a83",
    00000546 => x"00600513",
    00000546 => x"01012b03",
    00000547 => x"00112623",
    00000547 => x"00c12b83",
    00000548 => x"00912223",
    00000548 => x"03010113",
    00000549 => x"00058493",
    00000549 => x"00008067",
    00000550 => x"fb1ff0ef",
    00000550 => x"00048513",
    00000551 => x"00000513",
    00000551 => x"e71ff0ef",
    00000552 => x"474000ef",
    00000552 => x"017987b3",
    00000553 => x"00200513",
    00000553 => x"00a40433",
    00000554 => x"4b0000ef",
    00000554 => x"00a7a023",
    00000555 => x"01045513",
    00000555 => x"00498993",
    00000556 => x"0ff57513",
    00000556 => x"f99ff06f",
    00000557 => x"4a4000ef",
    00000557 => x"ff010113",
    00000558 => x"00845513",
    00000558 => x"00112623",
    00000559 => x"0ff57513",
    00000559 => x"00812423",
    00000560 => x"498000ef",
    00000560 => x"00912223",
    00000561 => x"0ff47513",
    00000561 => x"00058413",
    00000562 => x"490000ef",
    00000562 => x"00050493",
    00000563 => x"00048513",
    00000563 => x"d8dff0ef",
    00000564 => x"488000ef",
    00000564 => x"00000513",
    00000565 => x"00000513",
    00000565 => x"440000ef",
    00000566 => x"45c000ef",
    00000566 => x"00200513",
    00000567 => x"dadff0ef",
    00000567 => x"47c000ef",
    00000568 => x"00157513",
    00000568 => x"00048513",
    00000569 => x"fe051ce3",
    00000569 => x"d9dff0ef",
    00000570 => x"00c12083",
    00000570 => x"00040513",
    00000571 => x"00812403",
    00000571 => x"46c000ef",
    00000572 => x"00412483",
    00000572 => x"00000513",
    00000573 => x"01010113",
    00000573 => x"440000ef",
    00000574 => x"00008067",
    00000574 => x"cd9ff0ef",
    00000575 => x"fe010113",
    00000575 => x"00157513",
    00000576 => x"00812c23",
    00000576 => x"fe051ce3",
    00000577 => x"00912a23",
    00000577 => x"00c12083",
    00000578 => x"01212823",
    00000578 => x"00812403",
    00000579 => x"00112e23",
    00000579 => x"00412483",
    00000580 => x"00b12623",
    00000580 => x"01010113",
    00000581 => x"00300413",
    00000581 => x"00008067",
    00000582 => x"00350493",
    00000582 => x"fe010113",
    00000583 => x"fff00913",
    00000583 => x"00812c23",
    00000584 => x"00c10793",
    00000584 => x"00912a23",
    00000585 => x"008787b3",
    00000585 => x"01212823",
    00000586 => x"0007c583",
    00000586 => x"00112e23",
    00000587 => x"40848533",
    00000587 => x"00b12623",
    00000588 => x"fff40413",
    00000588 => x"00300413",
    00000589 => x"f49ff0ef",
    00000589 => x"00350493",
    00000590 => x"ff2414e3",
    00000590 => x"fff00913",
    00000591 => x"01c12083",
    00000591 => x"00c10793",
    00000592 => x"01812403",
    00000592 => x"008787b3",
    00000593 => x"01412483",
    00000593 => x"0007c583",
    00000594 => x"01012903",
    00000594 => x"40848533",
    00000595 => x"02010113",
    00000595 => x"fff40413",
    00000596 => x"00008067",
    00000596 => x"f65ff0ef",
    00000597 => x"ff010113",
    00000597 => x"ff2414e3",
    00000598 => x"00812423",
    00000598 => x"01c12083",
    00000599 => x"00050413",
    00000599 => x"01812403",
    00000600 => x"00600513",
    00000600 => x"01412483",
    00000601 => x"00112623",
    00000601 => x"01012903",
    00000602 => x"ee1ff0ef",
    00000602 => x"02010113",
    00000603 => x"00000513",
    00000603 => x"00008067",
    00000604 => x"3a4000ef",
    00000604 => x"ff010113",
    00000605 => x"0d800513",
    00000605 => x"00112623",
    00000606 => x"3e0000ef",
    00000606 => x"00812423",
    00000607 => x"01045513",
    00000607 => x"00050413",
    00000608 => x"0ff57513",
    00000608 => x"cd9ff0ef",
    00000609 => x"3d4000ef",
    00000609 => x"00000513",
    00000610 => x"00845513",
    00000610 => x"38c000ef",
    00000611 => x"0ff57513",
    00000611 => x"0d800513",
    00000612 => x"3c8000ef",
    00000612 => x"3c8000ef",
    00000613 => x"0ff47513",
    00000613 => x"00040513",
    00000614 => x"3c0000ef",
    00000614 => x"ce9ff0ef",
    00000615 => x"00000513",
    00000615 => x"00000513",
    00000616 => x"394000ef",
    00000616 => x"394000ef",
    00000617 => x"ce5ff0ef",
    00000617 => x"c2dff0ef",
    00000618 => x"00157513",
    00000618 => x"00157513",
    00000619 => x"fe051ce3",
    00000619 => x"fe051ce3",
    00000620 => x"00c12083",
    00000620 => x"00c12083",
    00000621 => x"00812403",
    00000621 => x"00812403",
    00000622 => x"01010113",
    00000622 => x"01010113",
Line 641... Line 641...
    00000630 => x"01412423",
    00000630 => x"01412423",
    00000631 => x"01512223",
    00000631 => x"01512223",
    00000632 => x"34001473",
    00000632 => x"34001473",
    00000633 => x"02041863",
    00000633 => x"02041863",
    00000634 => x"ffff1537",
    00000634 => x"ffff1537",
    00000635 => x"eb050513",
    00000635 => x"e4450513",
    00000636 => x"01812403",
    00000636 => x"01812403",
    00000637 => x"01c12083",
    00000637 => x"01c12083",
    00000638 => x"01412483",
    00000638 => x"01412483",
    00000639 => x"01012903",
    00000639 => x"01012903",
    00000640 => x"00c12983",
    00000640 => x"00c12983",
Line 655... Line 655...
    00000644 => x"24c0006f",
    00000644 => x"24c0006f",
    00000645 => x"ffff1537",
    00000645 => x"ffff1537",
    00000646 => x"ecc50513",
    00000646 => x"ecc50513",
    00000647 => x"240000ef",
    00000647 => x"240000ef",
    00000648 => x"00040513",
    00000648 => x"00040513",
    00000649 => x"96dff0ef",
    00000649 => x"98dff0ef",
    00000650 => x"ffff1537",
    00000650 => x"ffff1537",
    00000651 => x"ed850513",
    00000651 => x"ed850513",
    00000652 => x"22c000ef",
    00000652 => x"22c000ef",
    00000653 => x"00040537",
    00000653 => x"00040537",
    00000654 => x"959ff0ef",
    00000654 => x"979ff0ef",
    00000655 => x"ffff1537",
    00000655 => x"ffff1537",
    00000656 => x"ef450513",
    00000656 => x"ef450513",
    00000657 => x"218000ef",
    00000657 => x"218000ef",
    00000658 => x"204000ef",
    00000658 => x"204000ef",
    00000659 => x"00050493",
    00000659 => x"00050493",
    00000660 => x"1ec000ef",
    00000660 => x"1ec000ef",
    00000661 => x"07900793",
    00000661 => x"07900793",
    00000662 => x"0af49e63",
    00000662 => x"0af49e63",
    00000663 => x"c71ff0ef",
    00000663 => x"bb9ff0ef",
    00000664 => x"00051663",
    00000664 => x"00051663",
    00000665 => x"00300513",
    00000665 => x"00300513",
    00000666 => x"8d9ff0ef",
    00000666 => x"8f9ff0ef",
    00000667 => x"ffff1537",
    00000667 => x"ffff1537",
    00000668 => x"f0050513",
    00000668 => x"f0050513",
    00000669 => x"01045493",
    00000669 => x"01045493",
    00000670 => x"1e4000ef",
    00000670 => x"1e4000ef",
    00000671 => x"00148493",
    00000671 => x"00148493",
Line 686... Line 686...
    00000675 => x"fff48493",
    00000675 => x"fff48493",
    00000676 => x"07349063",
    00000676 => x"07349063",
    00000677 => x"4788d5b7",
    00000677 => x"4788d5b7",
    00000678 => x"afe58593",
    00000678 => x"afe58593",
    00000679 => x"00040537",
    00000679 => x"00040537",
    00000680 => x"e5dff0ef",
    00000680 => x"e79ff0ef",
    00000681 => x"00040537",
    00000681 => x"00040537",
    00000682 => x"00040593",
    00000682 => x"00040593",
    00000683 => x"00450513",
    00000683 => x"00450513",
    00000684 => x"e4dff0ef",
    00000684 => x"e69ff0ef",
    00000685 => x"fc401a73",
    00000685 => x"fc401a73",
    00000686 => x"000409b7",
    00000686 => x"000409b7",
    00000687 => x"ffc47413",
    00000687 => x"ffc47413",
    00000688 => x"00000493",
    00000688 => x"00000493",
    00000689 => x"00000913",
    00000689 => x"00000913",
Line 702... Line 702...
    00000691 => x"01548533",
    00000691 => x"01548533",
    00000692 => x"014487b3",
    00000692 => x"014487b3",
    00000693 => x"02849663",
    00000693 => x"02849663",
    00000694 => x"00898513",
    00000694 => x"00898513",
    00000695 => x"412005b3",
    00000695 => x"412005b3",
    00000696 => x"e1dff0ef",
    00000696 => x"e39ff0ef",
    00000697 => x"ffff1537",
    00000697 => x"ffff1537",
    00000698 => x"eac50513",
    00000698 => x"ec850513",
    00000699 => x"f05ff06f",
    00000699 => x"f05ff06f",
    00000700 => x"00090513",
    00000700 => x"00090513",
    00000701 => x"e61ff0ef",
    00000701 => x"e7dff0ef",
    00000702 => x"01490933",
    00000702 => x"01490933",
    00000703 => x"f91ff06f",
    00000703 => x"f91ff06f",
    00000704 => x"0007a583",
    00000704 => x"0007a583",
    00000705 => x"00448493",
    00000705 => x"00448493",
    00000706 => x"00b90933",
    00000706 => x"00b90933",
    00000707 => x"df1ff0ef",
    00000707 => x"e0dff0ef",
    00000708 => x"fbdff06f",
    00000708 => x"fbdff06f",
    00000709 => x"01c12083",
    00000709 => x"01c12083",
    00000710 => x"01812403",
    00000710 => x"01812403",
    00000711 => x"01412483",
    00000711 => x"01412483",
    00000712 => x"01012903",
    00000712 => x"01012903",
Line 919... Line 919...
    00000908 => x"616c6620",
    00000908 => x"616c6620",
    00000909 => x"200a6873",
    00000909 => x"200a6873",
    00000910 => x"45203a65",
    00000910 => x"45203a65",
    00000911 => x"75636578",
    00000911 => x"75636578",
    00000912 => x"00006574",
    00000912 => x"00006574",
    00000913 => x"746f6f42",
    00000913 => x"65206f4e",
    00000914 => x"2e676e69",
    00000914 => x"75636578",
    00000915 => x"0a0a2e2e",
    00000915 => x"6c626174",
    00000916 => x"00000000",
    00000916 => x"76612065",
    00000917 => x"52450a07",
    00000917 => x"616c6961",
    00000918 => x"00005f52",
    00000918 => x"2e656c62",
    00000919 => x"6e6b6e75",
    00000919 => x"00000000",
    00000920 => x"006e776f",
    00000920 => x"746f6f42",
    00000921 => x"00007830",
    00000921 => x"2e676e69",
    00000922 => x"58450a0a",
    00000922 => x"0a0a2e2e",
    00000923 => x"54504543",
    00000923 => x"00000000",
    00000924 => x"3a4e4f49",
    00000924 => x"52450a07",
    00000925 => x"00000020",
    00000925 => x"00005f52",
    00000926 => x"30204020",
    00000926 => x"6e6b6e75",
    00000927 => x"00000078",
    00000927 => x"006e776f",
    00000928 => x"69617741",
    00000928 => x"00007830",
    00000929 => x"676e6974",
    00000929 => x"58450a0a",
    00000930 => x"6f656e20",
    00000930 => x"54504543",
    00000931 => x"32337672",
    00000931 => x"3a4e4f49",
    00000932 => x"6578655f",
    00000932 => x"00000020",
    00000933 => x"6e69622e",
    00000933 => x"30204020",
    00000934 => x"202e2e2e",
    00000934 => x"00000078",
    00000935 => x"00000000",
    00000935 => x"69617741",
    00000936 => x"64616f4c",
    00000936 => x"676e6974",
    00000937 => x"2e676e69",
    00000937 => x"6f656e20",
    00000938 => x"00202e2e",
    00000938 => x"32337672",
    00000939 => x"00004b4f",
    00000939 => x"6578655f",
    00000940 => x"65206f4e",
    00000940 => x"6e69622e",
    00000941 => x"75636578",
    00000941 => x"202e2e2e",
    00000942 => x"6c626174",
    00000942 => x"00000000",
    00000943 => x"76612065",
    00000943 => x"64616f4c",
    00000944 => x"616c6961",
    00000944 => x"2e676e69",
    00000945 => x"2e656c62",
    00000945 => x"00202e2e",
    00000946 => x"00000000",
    00000946 => x"00004b4f",
    00000947 => x"74697257",
    00000947 => x"74697257",
    00000948 => x"78302065",
    00000948 => x"78302065",
    00000949 => x"00000000",
    00000949 => x"00000000",
    00000950 => x"74796220",
    00000950 => x"74796220",
    00000951 => x"74207365",
    00000951 => x"74207365",
Line 980... Line 980...
    00000969 => x"6564616f",
    00000969 => x"6564616f",
    00000970 => x"3e3e2072",
    00000970 => x"3e3e2072",
    00000971 => x"4c420a0a",
    00000971 => x"4c420a0a",
    00000972 => x"203a5644",
    00000972 => x"203a5644",
    00000973 => x"206e754a",
    00000973 => x"206e754a",
    00000974 => x"32203532",
    00000974 => x"32203732",
    00000975 => x"0a303230",
    00000975 => x"0a303230",
    00000976 => x"3a565748",
    00000976 => x"3a565748",
    00000977 => x"00002020",
    00000977 => x"00002020",
    00000978 => x"4b4c430a",
    00000978 => x"4b4c430a",
    00000979 => x"0020203a",
    00000979 => x"0020203a",

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.